Skip to content

mrtkp9993/VHDLExamples

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

26 Commits
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

VHDL Examples

Simple VHDL examples.

How to run

Just run makeor follow the steps:

  1. Analyze the source file(s):

    ghdl –a --ieee=synopsys <design>.vhd
    
  2. Analyze the testbench file(s):

    ghdl –a --ieee=synopsys tb_<design>.vhd
    
  3. Generate executable file:

    ghdl –e --ieee=synopsys tb_<design>
    
  4. Run the simulation:

    ghdl –r --ieee=synopsys tb_<design> --vcd=tb_<design>.vcd
    
  5. View the waveform:

    gtkwave tb_<design>.vcd
    

Source: Link