Skip to content

Issues: ghdl/ghdl-yosys-plugin

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

wire not found for $posedge
#192 opened Nov 12, 2023 by GyrosGeier
Error when using altera_mf lib
#179 opened Nov 14, 2022 by albydnc
Error when using inout record
#176 opened Oct 19, 2022 by albydnc
Unable to build the plugin
#175 opened Oct 11, 2022 by canerbulduk
Roadmap for a release question
#167 opened Feb 4, 2022 by Martoni
Use of BRAM in ICE40 ...
#165 opened Jan 8, 2022 by PPlinux
wire not found for $posedge
#163 opened Dec 31, 2021 by kammoh
Multiple use of module
#131 opened Sep 8, 2020 by IIupor
Improve conversion of records
#120 opened May 17, 2020 by ozbenh
Make test logs and exit codes consistent
#79 opened Jan 19, 2020 by eine
ProTip! Find all open issues with in progress development work with linked:pr.