Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Problem with Modelsim simulation #3

Open
liuguangxi opened this issue Apr 6, 2021 · 0 comments
Open

Problem with Modelsim simulation #3

liuguangxi opened this issue Apr 6, 2021 · 0 comments

Comments

@liuguangxi
Copy link

I found there are some minor bugs when build simulation using Modelsim:

(1) In the file e203_soc_top.v
`include "e203_defines.v" was omitted in the very begin,
which caused definitions of macros missing in the codes.

(2) In the file tb_top.v
line 270: $readmemh({testcase, ".verilog"}, itcm_mem);
Seems #0 would be added in the beginning:
#0 $readmemh({testcase, ".verilog"}, itcm_mem);
or there may exists race hazard with $value$plusargs statement.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant