Skip to content

VHDL Support for Syntax Highlighting #114072

Discussion options

You must be logged in to vote

Thanks for the suggestion. I passed this feedback along to the team.

For your reference, the current syntax highlighting is powered by this TextMate grammar. My teammate verified the bug you note exists there. It could be fixed but that repository hasn't been changed in 7 years. 😅

The other option would be to switch our syntax highlighting to use the tree-sitter grammar.

Replies: 1 comment

Comment options

You must be logged in to vote
0 replies
Answer selected by Thraetaona
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Code Search and Navigation Search, navigate, and understand code on GitHub Product Feedback In Backlog GitHub is aware of this and it's in the backlog
2 participants