From 2d1527b8c28b27df679035565e402d18183a7dbc Mon Sep 17 00:00:00 2001 From: menon-karthik Date: Thu, 26 Sep 2024 22:34:40 +0000 Subject: [PATCH] (auto) Paper PDF Draft --- paper/paper.pdf | Bin 349345 -> 348392 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/paper/paper.pdf b/paper/paper.pdf index 464f4c38fd1a43c29d371706a4a13c57c46cfe8a..eaeecea100aee21a2cee3b8ffb2fc9aff9551a08 100644 GIT binary patch delta 13854 zcma*NWn7e7)Hf_JBi*fZBhoQ+cXtX%cXwWNcMaW0cXufuDBU0((%p(Lo^$T^iBHdm zHLKT-YhQbQ^Iua?j{CC!Hy{Ki1hxsT#t<4H07nnU#=#0T(uKhRz6*@SF?2dTJ>fnE zie3GF^%{OMhC`&w#o0Yf3o$8{ImR@39oa>GRY2 zpPD~AyX()pkoLBsLwq+C1H__Z2?pv9)6hTnzgz!2D1Zn}JFWqty|HPgUTTT1zS37T zP|z>*fIq>m=g&v2fROLIth^Pv!l;AGqh2n|$D60!2?tec+7i}>-toMI-p)MW;bu5B z@CP99W+hKk*H&p9-7I!e&>%Z{;Aqi;w#3xVFVwd>KIQ6WfEts3^?9)OxrV8=cef}0 z<{2T6uJ{H@N-kZn-!4z#bz+wzOP)H&b560h(r<}*sh>U$pwpjl0;j5@D-)!W;m(lV z$_Z!Ou{odi!U=_+)yB^|v|b8_Kt_ROR`2@dFr@4T@EX23g9NA>85Wc-*Om&*?HAMT zzXe%%$9oSfDRSGyHTE>)&2vVKt0I_W-}`HCT&|J(-p>1(7RSdU!uR%(|El_9Gj4pK($Asap_Z1OFF5~@^SFTD%m>Tp^InO1t4cmy<*=x*_xrYqz{slX7kIe z+Qhc&p71aYu<&w_)DNo!<`JD1T7D_s+Q6L<=?Ej?*Or z+m33_5}k0RGdC=6NE_>G17bBP76Cbot1WVoMOp+=Z&6H@A-RMMLpfyOqjR;qv>q8` zhJb3WdYF|~LkmK;Dzo79uBcXqswrHWW+9RJdQ*n`uw44emIbpTYTjL|%u88OGn&gb zh2=LuE21K3`@W5{3OlYS2K~I862-9ul@PLler2-6uRcFznL5d61capVU9Lp$rQk2q z$NBEFq7R=m;SIfBKy$19bu*PJ@v^V<0rTq zw@EGBuicYN%0;B~Y*d>}UcVGj-$2D3kN7EpDS|bnAN)0d`U=&%#-(4){zwJt1MOVq zdOBHFJ1Xl_v5aXJ=iUB~S4ilmojn2I`QQ8KS_IRdwZ^_TM2<>?V(_~9CUEWDea5;Z z49~a;@AOnHa}yW|U+ulj@>W(agYPH)t&yn|?6+IjOs!e~8z+XBuu#T3FHEeGREInd z+$O5dEc>2wNepD==O&zYGb>M3e9krH#b8-drnR&(P%AEROd%dY33CaNXa33%U^P2I zBjRefaMU#(idG?6Dm;5XzFw=}q4BUmB12VCMzBq*qWbnt_Rf5|@ByR!f=2@aZk$<^ zM0nMZs76EmZ@8c#lNB4aI^E9E`hamxfZwUi8ZrT6b;xbAF-JYuwuQnm=0D8Crp)Tb0Pvg0 zp)7h_`McLYL>EEi!6He$m`?@TVpA$UGfSs`M10usG)+;KaIDNJw;A7Y`g9skhV~N( zyEeLeEc+bT2-7cS44evwg=OqstT~~0#6^EP9B8;1hV^*YyjzzsQgtvVcs;r}&erF3 z5vJ%nJ*VuI?=D&%F`Xvg%3kiH3~aHY%i4={^KyZ?7>T#w6a9ar)gsGE7xX^#So&Dt z^-w4I4#BPxe)SN|BiAUE`BI00li*COFvY=}f!FoUegP2~(tmqxt=b@O!oR^S)6xSh zH}~OHVhL}$9pb_&XFz{ z@#!pT>!UGQR4}5L6P=d*_h8YG&gf545{K3*O26DJtJpo@+D7E9^J0xqHjQN7s>^pw z2W?4X$KfBlj|4kI!+Si_m5!R~j9Bx;r+JkKJ`l&l+%RDbi-wf`{9wgSB+c$3vglt7v~Lc09Vu*%HOM| z@V*2s)2`*qW}mIiiM=DWhdcu*IrS#)xXzPR&1!$F_gSiDt@w7=Ots-pUK4T!_H*86 zcLlwhIS}{Q_MVxTGh!(xX%3qYx=o^4UspvrqUR6+`fB}090I{cIXi!~4Km950Sn5o zf>2Ro&qY#aL4dM)@|_clPuDyhy}ZhoJ#+mK+q+L6_WYenAY1P>FLY|lzfP)dDECS* z&kovHJ5@aK`40ODe#yC|&-~7rkJXz6leWrXb4T#8bP%5RwPwnr97dR*TGrAF8q^AibgWE23-BHf63qmT1a0ImIM&{ud; z^8WSrx>+sBa3B`qle`VTG?{U^XsXoQ^JMyQIy>2cFNrBPGRBD>itx^?HUvX<6KDvZ z(WXfb*GrF# z7AqH_0}&gYzYg%l)%uZD;NUyPIC(E=tMPqR6|=rrZndLMj1smRM!*8Y%fW%9K^N}s z;WVVEJTbHIT;}3ytwHbBv>*Jvw@;3k6Ed2j)D;8&)#ubk>?*0t1D2gYJ5nvWc1N>`!P&PsUG&k<6a-!t{e%* ze`^{H@Mw*EU0BvxQ@j&o7|vi7JJbK@w7xd|ZC-nqxrmd_Ak{{pUGK(gY%od+>`4Xt z>wSDWJ`UnR#lwg1uWSO_Tk}5Wg$5 zCUsZ8oU4W`x7hpal4L8HMEPTeD0zh(;vW7?8>a~qcYh1_(%G)I)XaVUNCwSi&keyc ze|M&5H~lf<{+=)^z-CZ3}u!$u}}TQ=V4M zfof3;wl|T~rxvmCAC-yS`|OwxZ7liw<0#N~a8mJ)sh4&GJ3l)vPt>b5|Fp56o3_7I zJ(kscmIXFE7ATX^{LZ|4{seu~-pcA(E|SOg5V=c`q7wYvu3@eJ)9dy)Dp`A_O}(eYYyi3 zSnAyL9~vSPQdtuvV-F~$!jd;e5GHupjtA_U5u4`i9Vq!1*2R6?+e&x*6dFscR>97` zk|@osdH7=7A%m9J$CCRo@uHG`i>ZZt8k?ilo$6#ojV(~6@3+u4 zsl_@+yxR3+5>`bdPV4n+KM)f>?$DV_m=v-KbJADT;t@)@La&0j9-Mzax(8UUaW{qi5u_7~7zvo&Qqxz05Eq!}&pRXjUzOqX?p>1M*5 zN18E3C+0#g=(V!;THb<1+-!IToP9j|PD=yYLg^MNZAnfHXBL>j%44)PrDO@Ynky{L zaBhDVqp}_3TpZmORM7Q7wgWBr_>hm^smrpe+eW%9&=CRlPqJ~>F;Ja3K~JP0rWTet z0iyXJATQSVv+rno&~#&AXQL}cTD11w!|if_o6~9)DTzh)*@Rhp4d?F1AVWs!^h`%I zoX*Hw&V^bU5eOZvK(|m8edXaEZVN{T|W`|l_cB5ciTBn5<$`I+LLN{$3V&{_?;lEM_7XiA-b z;0gPq_#maCIzhXAs1#U%i6lH6ERd;E>HDM4S5j>sqas;fpsWCiQtHE?eKvAXeOo>P zVS(a~w(>V)#rdcnuQe3&L4vt0iy39N$pPIPbjCg}!tmh8G$5hNek77PGPNZBmy6t1 z-HZrpLSL zKO&#UuO8&n8;{=8-*Zvr_*sjwUEW^PW?n)1Bg8%`OL6z#xQxE(axfP8u}FGliSRm3 zl-&vDWI4!ZL<897D7u*QRcx7*{&O=cE-Inss< z35%Pa7(tO2%~oGPSUn!ttoiPNt|=(keWg9qOmxM?O?flA5-D%>yGQYUQx0{Q*N+5( zX$Egat|t9A5*W<1oQo19HXnBC(>%0Ejtbrf7oOfzPnQDL6?V%mvrUPhitCS^l$>*1 zc5~`dx@sp>H#W_Z!`2lONmKkj(vk~}f@IZ&=b8W%Jt9!(5YG5JS`NCYpFm>7UHZHd zD&HsL(4?e%?r(r}tV=+0K#wwEJNg>3uX$NO@ zJ~KVqVrjamkP_oczH5+myx170U&s0L7&kpSx?lzcnU?CT6zue>s3JNR3^dHmxrh)Ois3Fu@B-XKIzfGNLTQ0}T-p6AUvy6`_HQ6iM2lXG(kc17f29|-l!ol&%nNq4e!6B18`th&|6cU>U zJ2373j4P(SGR>$6|>eoI>Tx3jOZh}-Yy*BbMNu(&}y6_Rn<{Qg_@rv9&h z(Ls4zyNwfC$i{6JMG`%V;T6_ zCryLN-t_QKIDSB?RyW;eczpuaw|pG}q@<7Yf|D_2Gc;Q45%liP-=e@bG>$saN5S}C z(z14)PqSbE(AlkFlV_}CE3=_Fweg;(+DjK>GI2FcjMXpj1+njXA8+=1cb@)a2m#;J zD(yOc8Twt_MXO0_Yc2o2xjgIrUH*q(dx+Dtpd8sFi;afw<9>*ir$=lTHy0@R zxICBa`Si5eNL(^|{46O?Emvjf`j~N@LKdnLDe^~lSX&^%dNR@b*#QKlrucSxD%EkSUmzA6I zKhE_phy15Q{zw0}3M=HlcnHrwar*y%Q7&$%QwE3+ik1qBfaT`=SDE=o;rdrePkZq| z{`2TV|0@4@1fW#upfFen1^k+IK92W9VwQg7l&%e1gnIK;%b0!D{UYzV@*4%8+ z$4rnQ3>%au>mTNs1rmDE+Wyt<{$*U*AVwJ0R?}<{8O$5*zZ3s&(VQMPW{1c;3#?AgRSEpRiTjc+>>pak!Hjpsrr2yBzsJWlE*9WzQ7C}~$ z)py3o0`8j2Ys(e5Rn7DD8Zfxo7!+h6Qe4m?8j@N>jM%Y`+$}MS{z#8Ry~EXFDEp#>Z(0VS18=aN z?WhB5&O>ceAr8-on-pB=DCdaE^gn>KB7bwiJ`+}Xm3SG zj-~T@bhp86e%@IkAeDo^Z#gd}vJS780LREKyVdt7_ean!--+F^JKu`VSIXVA=V`~W zV2XC71nz;+Ho(EEOr9J+^eic9m+B8B{Ex-RUa+cR;%|{eaT2Qd!V%6q?+mum_hnji zVYl z@XgW73MU0b<=rXl)cLn6OeqYzL$vA1V^Ixkg+j?8OGKNx8$1>syV~ zo>5}+$b-i}Tj%jt-SttGFb9~AAeaJh6vM;zmnZ@z#h99&@dkF6GoT9p%ZZ+N>KG*>u#JoPQ+(Q~37CjN;zKzZVKGCdxoToIb zTB7}W|Mhbnp<5O>ie?}kQ1@(l$E8V;Tv@m^iNt4*c&B=-dFw})zQrG?C@U9+BRnQQ z}UR~OzguHjV&&t3p8Q54VS=xZTyf=L<Mky4C}-## zZAHP`!(eRCp#YPj&uqC>LynFKbi4l9z{aEd3> zMpTVOdbZ5qwNT_qpNhuz#`edtY#0T5Z-8m14|hVbwQ#7gVme7yef^V7sAgMpyNLi< zqcQbbnT3$rg*NKi$j%XTfbzD{tk2Iv`>jR%6PLzs=qsmHq!FLG3WVX(i=Y3n#jHWcIzhZAYEO>&piPpJI2}D+uI~}*F58= zkVA#z3F_P4BC@KN@)L*u5Y|7PtN4{o&;FTj1AS|?&UxoR8uB_X_8a?9!z_BCi49ZQo&Fsk5*QK&87KGQ#G6Bio)D99iBq`1} z78*mOoXeI^K=q566X@uHKP>e zr{GNkr;KEbWCH00>)wXolKYJgg=kJYnPsvMkGi$Kz4`sStQV*=PH%E_*zp2P@nDj< z_2W~qyDTafg))Kw#NbzL=(!Fiez6oC_kcvoRS-#boP%g?ZdMRl)j%y=4&pF5A8JN> z(&Gn`K^dx%Eqsv{MR+fyqI*&RjNqs{5bec9F8Kbp1=?7k z&!2WUyCFuEpLyLSTMEDXL4f3)^rP_;3}u^B^*wEfMSV4(x#P*oFS-~Cpj+<-< zd>aVpcnYu$>M$2Jta-k6X<}>Mk`BeGP2RfMiEC@0k?2NW%=VME`K5ULRWDu=wN?S9 z#!T$zOss_4Luy1Li|^H|^Y95GZncfBHU~>R`x?jURqPCbUksirCSfZB&!en=;_i^1 z7+P3=MP(cSUX_7dgN-=Y#~#I>T>OpifZ<`_T{^8}t(WuVq32V#nvm(7wRJvHn91Xp zoZJPXH=4<+z~0@%Yg3Eu8^UroM_B~vk5Yjy)l%Un(i;MWyc)-Fd+O&hLKs2sHN3Tn zuG&4bCRILmviHSZH)@&v6jt}6pyvuY{I+}?_RD4t7$o-V9)wz77tgE=R_G_{5SGvU zBp4^yt3Rd`%nG2*ptZ>T!1Tx^Cda!$#qnhpto6W!6lE*ZpIn;kBPz=s1%>6>mCVE9 zs@p{&Qk3J(O!9ce@6GL7BM(%IF#x#@&u z(M*&`{&)6&{F204x3cjo0P)Vbv$>@~_r*%*D;G!PCFEO-Dpba_Sly+$33ML#J}++I3S0C77?(bM-R7RpIOjIUS3Usy)h|O0cQY>( zl75dh7^cLry|vFvM5vzl9$8OIEZgXPxNY!yP6D1Z^bm`Td~{{wQjspt*=)b<9tpnZ z+#4ehS%h0s{%3`2VLwA7d=8OvoGx;5YW{Q^bV;L0l| zV89cSmn=*Leq?hMJn=UiUaxw3GO4QuxNJrlhbZ~*|Zu^pH64j;^hi;bs zNy(iv=YaN!B2G-9@WEinVg0xxMxf@n&95<6ZS7UBkVM zOR78Uz8I6NS^ZA25Yemk^kDKQzkwgp)|Vy~*ol}8+Exu9YB>%}-I8SOLjc(xLl;A{ z+A_tjqz5E$p4w96SyxrFDb6?AS!nsA@@nRh6dlwwDvnht(2d|aeAV1uTJ#RfW>c!o zuk;*kv_h#`MGFIFgJAD)X{tW7Tlu)sT^$)VH16}NjO+x{z?oxdM%ds(;@2d~%}Z&a zW^NH*s*n^*yZV;5B@?m}ygDIUmU4{eDj7K#G;XccCzWd(U&1H83xHo@!%sNGun5hX6C}0j+L2QXOWiyooZ3pH;o?k&QOsBEajR@!ttk0}z zPyN$lARSLF_cUI1j^P#u_FK=lVV!N15Tgj;?rr9|^YII20C&O5jgBeFMK*ws0ONC8 zxF@)S6v2b~olC;VYn%iUAq~&Ft&_8e+tw!n(obt@M;AFxg%nj+l+mod2H!W@&xyI1 z`)BLf6zDH*n!nCn>{i5)Z5~t%f`OzQ1=@fIU3L8OS(AY^uTVVK6|i ziDiUO_$TAK67VgZU#R7BB$A_=2EN(IW7)XsQ+<6MDw9Yu!(uX86*)l<|Bwl^k(nKA zIHNc1F0G%fvRTa>BfS>pP9%=+$9Hpc_J)m%b@QD+|L9ZXs~yNlTTu9^Fk_N!;ghJ3 zvCoD_+p_*WT_tNdMT4r!n=%(6On>=)aAfIx zj`9!Yem%SjoX%jrV+S0!&vWIy@Qz@7qc&_Wluc(D{T`CdO|PAcpZX0_g;dA|M7>>z zrmJI>k>jf{Fi^2lIvGUcz8hEVx2)bj+WjmhF$IW;Fo{S-Qy}E=(&JyRi4;iKRD&(= zl*^Lb)PW2=&*a;uT(=LXK5d%Ih1~Y7M=);(CfbshNnCN)^-+@x(fvjoTl-EyC>s98n@fw~bsKgI3a9j5(|^ zFM&gqCgZwewr0>oIy9K8U)3Jn&oSB7A;!Vm=Mb3O)T4XtpaW<3df4Y2{`S*bTG>vQ z${EvxLV0rDFa6kkPF0ljg7H_S!N-I8Md%dl+ge7<^$wX#F9<}L+mJ&`OJ-wvE&n!a z)J#-WjK6jS0g9}%<>mGgDRzg2%#|oaKx|> zHt0ng2p5P=(YIM)!3jJ2&CnDr?7b=3Lfy{TpP)37FJn2{qBqR@^&8ewBeCT3Z>nx5 zWD{40rk(QfsmgsavvaFXWZI5pwe(e&TTweVD)K01B<8ky5hCxaI6hs*eh5T4{jMdOTp@YKmq z+u1Q9*`lnKho!Y8kjgh#9aqRD5Z#!QXS1fi5;xA^QWkWP9&2!Hyuzt<=7=A|75nKE zhZGm^>%OeT)ua3fyWND9#FTKxwGPqU|LB*z?T&fFw0rY$%^!kspQEE@8a$sk$6tlh zv-4ge3ife0F(=ZVLql>;$zaoK(jy}MC%8$xYtqnTXw;e4Hbi$hmOCClqloS@#Zeh0 zN*IyuHKHru95O_ZO@EtTFP&{eOBBwI+^|)_2N7_FiK^aoxi2BH`ar) z3W2eqgsmXy|MSQJtsp!+wtvA|GG=uL4SOpS2U9aLRvuQUZOY3T{3!%x{XbK%+dx<- z-2Vc+%vvvKE3~%V=31Wh7_k;4Gr=1|a)}DdCIq!Qw zAE9JlKs3;X9*|3G`xg)a43xhYB+`mC3~EP#w)VdabT;Yl&s5l6oJvw)2p!vB^#3n-&d*QA zENhcovZ)f0tpDZ`DMheW1@jnwXvU}-6u(3g)7gFGI7#3)jG?)^WjiBpE1SUn zqWonxliqZq7|{-#&Z6NY>NX17UqqS%r(OE-snS1<6;Y4)MpWz5cc% zB=4b>K-%%9wckt?Gcn3Z&9T<)EQv^81Vh9A_rj8~4#wo8aeWq+RZ1Sh^nGhl_glvw z#+px$?hnxd1(xWyw;gWB{e|87j3?g=vh(KmzbM%~T|6V(IB2$-H~Twuv#)v8v+o;c zTJK%ohPpTy@(we}@9sW7hn({xd*0G?%71yg2rx^t6y#P{7CwhN$-zttC&uy;Im8XI zsu@!{p)6*dS~$#Kd7PM}56_u8;z{kB_Ws$FB62-MP@l;m%0_XerT&N=T}ryfhX>)`P{yOk>F=k9y!8Gjxd zVBb#ccd@CG=2+UHE-hzs#L!g_VU4s|>B?Oot`)=}P(&ccDB zkf-eB!_1O*b2{f7>haPAVs`0LlZMrE56`1XZ?{~|rrD3N2D`KqKL!2Y&imhg9~yOA zo_6+~8TkEJT^&c)dizapy*P+HNXNNq2pH~4w~3Y6@agv7uXgiYrO5i=p3#WJ>2!N? z<}5Vg5UZMOzJA$)y253@(qw10V!yw_S zB9p~nLFSiLV9Y7{Xx^#q&qCg~f@u9(-P1#M!~~`aW*lunp)iLVRy6_L=X~$`mxs!; zPqeknit&|+!RTtmIT*hcYcLyR(8>doMRX%|Vx-+LC72Yq)tQ^mPBpm|;%H7xEoo^A zg~dbpf^{TPv?c0-&k2NNzh4U5zyKTs&{(bDkIadbXOigIUx``!ZbjB%ziqr5Q)N9^ z!pp6NwC3?HULE<2Z5TCsOa1tY|ISRr4H-rD6)a&Q^9LzLO1c5=+ncWxi0vaAZ%uaK z(`=EJ%UE6^!4EELkVLu@<9%0yfR!@SKtfqjFyPw;6KAzHkT&m=srO~JLN`FpV#7IA z_EkZYaL~u8k)@8aD@{S9|0dP%>(AXd0TM>GAIGNa0md-l-^*NLmJ0}R>ReO!&4hGE z$dRdMXa6w3F91XRH;BuXtZ$|YmQ^?8?pf3Y=CjknE4I_nYBF9|(w?{S6ZnWKL?{`O z=BmbXYr6%vzDYztBKnxm%XBG?kj1S{jFW1{LNF9dKl+j7xV;DkZqDz!-}yzsVa%_Z zUBeD-fes;o9~zr8|cH7jqkm-Zhk zR9OX#Ys~g|sGlwXWZlt)4YA`0P=;N;+ju&}W}Bt;}yIXEQ6 zI7LLnL&5Vje8I3(7EcH_GaViZehaSg|*pz;wmpW09Y(j&uNcnqejvo!=5@dLEHFc_3GD z%)Rz*f`F4uwF?GK5&G2AUzf8GoA=45gB!_F_k?-Bg`$jvydMc88VTi3hrc2x#S=q( zA6TM{WL5{OsjFt`mJG&dqB$Sb1~dY1kqSm08*9I!KP}UDDPc*u?=hni5PEkQ5MUih!%aFscG&wUIS2!VqQ$dCLMr z=UY;b4CV*fw9!<`!Xz$#Ts1gpm6PrV)Ql`6D?Bd0whV}!1bv@`<@-o$8&s`qV`tIX z(@EGOoO7d*J+sRRUtNdnK1gC2?pH_3yYY%BM9eWAJQ7VA!W0RtaUCIh9F1mv=2{#} zz~DtK7`JwBRl~%Uvi#7K?W=7;J%L)STk^`u_45>_loS#1|0W#FM=Sj7G@S;MFFo>} zTE|Cp1l(+qKYY0m8t5xyS!7r(gX+0IqWpQT!jQvca$~>HYV%k6d1g#8wCg$$OtLx8 zzPSmoZeHY{@3fuID(e?KzVD(7H5_1ABOb>x$fGEA2%V}NSR~&3?zgE=O5eli%T4c# zh_DT(jy*utnMnjyw#ZI6e3B?a3yyLk#!iAv+skD^U}0*?xe#P8HN z-#-(#9x8F_R->i&zgI5xUMJb|kt}3bsgtNQVUEn|WsGBrGeR5Cd`wwjQa+TER zOcz6eLrTyuG(TQt-akCtg11qPri$*VBT2>D|2!^Ji*^MVz5L-+7*yl zgMZ%+q(!X;tY$CgYx>wTk15&ID>La=Aor9_Xwvjs%iD-{rfW^LUiC_D67#?GcDAq@ z>djB$$u=YA>_*nx`aU3UFUXjsvUlrI2Sa4;@!fLS^W4OEGIEjA<~-Il(Uer95_PsD z?;+AoEdso#ui3{a^W@s^=;nI*m^EoVj`Na+O)D?YRwC6W;K(p)pnO)OT_moo^$qfq zmAiw12Y2Jkw==H-oft<)3-l!xFBV=yWHmV!0XekoJu;CDSU6LcQ1TuurU2PLKA?B? z@5#ge<2I9O){1S*VeUZC<%136|P3ckguuk}Hi7IaD1-ZtCXq|&Q}QfG$NkL2(gLWHNa1~DuB&a8Uu6JIU|D*M`n~PcUbAJXIWcm~Yz3nc z8cbQToEFe>&ypKe!jz;&W5#;-{gur*BEOfz@_S3|ru%$OwxXcwy@=mvyClG1$B9dc z743CQy+JJ^%{k%^TOtK>H>CU14^y9xL_`Wd0F-9R+4B*6_iEC}R14mr7~mvYsuP!g zj;EF31_O?LmCDNe1-PunXRcpE3MXaIII1r1TV$HZNlpZ!#Idm}S4m}MrQ%}r`7miK2i#vs zvz0gSzG|}%es@$SBb*i_wI;gDEf3as!j1>JfvYy+BxYkGO|Wj{ zFg&hhggHi1lGMKQlyr-mkdp4RQDylB02b+6rf|ebe#Ng2UgkG8fnA7yAxLE~z(?g) zETlVCYQtHu#D*ShR}>U`2fDP35SN%u5#8VL3StAFj`6DxBnNU?*pdQNGHuAG!5QC8 z8b9eD3@aS(mm$C?A({k6z|08kMOi0yZ;Q{O9{GR!vXRk%ddVB~lPQqgn^RGkllTN- z*nGa8f3iNd)^P<>A~qDCMtWSuj6r54r#Rl3CW&{3V%TeEhgGJ4nv%zj`qVlKjyjyu z6UTUlpTO3ltV@y#d{_;=7#bki0iFwF5qrJ*CItdur73MiTxrCP)WO=VT zZ?&q-S=6HKZ^%X^X)q~B;;fR%?lA?-@8A6FQK1K(j;uJ8+&*hpoVA$l0e67bD~@!T z!_HKG5wiJfVZjpfs}R+nM~l5!%=6QVH!pwIQ!hHfx&1kAU`E_R_(Q@{wZ8)C3nHr6 z<7d;UR2(d+XeWNhMzIg6Z7a8Zy*>oxp_ehYl*x0vJsZP*?4_Q0+&Iwf68o|SCQ5}O zuFh#WI|vlv($;qs;2%r0_24=fc%DM~kA>D)%wzGZx%EwS+$bLNQ}e2WMYnYhVRBEo z=y41l_b%c!H^jP+v%{Y69#pt|1$Vlyk_^Xs)d!e`n0VlgZoe3es5#Br#A*gm*Z9S{ zhE#ZAo%+|@1@Q%U8P`0T4Biz6fXRH#ml4#TR?ob$t$SHsnk`Z7hQOyL$nidihA@>` zVf>AB)aj|Pqnv8nPR5P10a_0nrx}_|!m>SVId!pW1}(XS4U>qKW8&NGlV9==c}-Xk zZ8tdnjAk_+MZ-I#55z5p?`$dA34Wx$JDVpT3G`M`qqv9_B=Iu+K|A4R0a^O<^@oV0 zX{ekek{Du`_P3Bsw(MeR8SGNK;Vvk<-JD+}xMl2J;?~{tLQQEmDGyf_pHI zK*S@XPr;F)T8P~0(go)IEt=<2iFM;#pzMRg`wZ9V*hRCzQPVGEJbt1L@2 z(JWy;EBt=fEy*M~O@h^vs8gK4ZG6lvw(#BbZdNQk3V8dgl-U;i%|=Ho78|BR@QKiQ z+frWeHxm4d&!pab$_ZJZ&EW&!yiVR_MVGSdAuwOwMbgF;i}R+af*B*G-I+H7r##$b z~xWb0rI({a=I9}b$6Hv(dO+u+GVT9mJn`|#CgnPFhQv*p%-C6f14-JbDK^!*Q z&-GgS37^vV^jRmcofpLh>&j6Z3%3ZZy;72L-eqa_M%c8pU$&*TGnMzNBoat$uP8g> zd*yl}FruI8XGJ-gg{+V8Bev#hb|hLehmWSl_wwZdY5v*Gbayt`-@mG>ZQzUp8M-u z+Hp-izt3={?`Lr``%|Hy>r(ea>9ryQoNARwhmk_R z?^}-AJiNNgBCo?Q=-!UX#?=~nZF{6;HKQr-!hfU2|t#7f=u1=V7l6 zX;DrB;?kRg<9M_Nhvpyg*OVGq5eM*D#m;lBoY&{n66UlwnLD^>4a4k^Tl9AQM|%;Z zfL^4~e;5+*xUk?4lWtsw)GA_Yd!(`e6LlB3ykTU#5(*$ls6+~$)U3r}vU!FWr^c#& z6+WO4KU87#9c6Lxrmob9Qy5GjmxhVG6NPt0A)Yi?{`)X1(Yb3bXF+N~dwwkkqnlGv zars(MR&>=KD|4IfeB`(eFMcD|&`Bt!pN*T z%cBlr3aPu&>WArSJIZuB@YUMBrZMlwSbuokP~l9$nSAhHHA~tix>XFuVjFJv*ghrY7r&}7!RjDelTWX`01NFkvy1Jj_vIeYw{rl z`e&$|YO{E(*w30@Am#CZ5yy28^OH+`i?@0`7?=F{08H!t#i&$qyvNg1S4oxCwVKVb zI)`PFb(>43lptNXlqFYLL*L+p5YcBhsjfJMwhU8LunoT?M@6Y%AfNRUDnsfc9r@P- za0Z78vPaNKCt0-loJks$V>rvRtXF4`Ll$E!yV>Gajq7fr81PF^FpSeZ`(PBWV1usY z4j)kDeBc1ZmkuNGwa585!_qyyEgls=EIRAFk9GYW)R)2<87T|nxmB1F8z(?KJ09wW z50_@TcPyeQLT+a_xL|3GJ>2oRl>}xAOgjRg+42+)Qojvjtj`TS z0a_i+eF=;CzLvmRS=b+ji@!o}HFaq`Z7wgTCqmmJJvtRgm}=Mb#Bf(%M7b{A7d>$F zM6fCuMIuxCME?B~tUOj_2a^}$RkUXV38e6 z4Fg?RvTd|adW?M^LFE+_UVZE3#u5EbHth9HDQp)l>NM6e@=HV1%{3Yu#rV_hNmf6;_tcvN^xH0%i^|tm72= z^}}BgC0;Lz@+MYILxQG0t=AtG14QC7Wm1l@hIKz$$;*2G`AZ)PtSXobAvb@Nze-uL zRSQf|GAR{+%;tdEnJY|whmQd-d9ZNo zA|U_%i<(%F-jjr*-6g#b5X{PBhc0JdA`6u%Qg7Gks}UuNP9ElrXiqXp^YMep=bj(# z^zHdpPN~}M1^a8!1c$>=d-Mc^5s7gp!>4c(csg4N4bLDHq(E<(K{$8v5ptFHm<5_Q zqt^ijoNLp|rQCz&4TzRKw0@YiGY;9$$i+ygw;Gp6OH*Mi;J8|R|TRcs6 z{%r9fP6BuaewPG%W-yJ0B>ZwrcRGBM=W$&)LXa4IQWmwiqB_ut@^?Bfm<&%@0JFeM z*i0(sV-@Jp>s7m7WbqU*)c*OlgTM45Oe4&3NdZ&@`I1W&?!2Cex5eh82&(^dk_M|} zg^?j~Wvxw_u*b>ZeWRQ~SI*}UbyFs4iFr@N;ZB|RQDEU*8cbFfr5E-q2nVzr_1Iur zVixwxYRFn&fbz@l3RLMK(j1lbT^Q4igvUVbCNanro>mpq7DfMUd24)`w%|ULG|DxJ zs`km|V=k_(uzlKPkx8N zB6pfoI&y3nKJdl|Zb6Aih;4|NOwuVzDwG)77I0WJlAio$2$|OS+}cll{*m->;?tlE zsV(AkXKMW5i@8K!jmKLzujN5Bs7x8E+)u0_-Zo?de2dAx0a=j&Sv9Kr3&!UbSgD!` z=2$B+7TWByafu(VES5W!99n1x&Nm{NTSI!2hXW6&q`)uj#pCa8r)&C_#s(S?D}8AE z{?N1$VJp5UmsJ)BN9fGmh2a)`pZ(DXz74~kV(BLT)X6X~+17lf`Ma#SnO-oth@)NW z*r#HhLL}T{{n4HEPID?hnqNn8l1=aNr&u%Rbt8>g$P5)5eB$H>T(0Q>DYYA{j?40A zmvMr5`*Ltn{|O8^jmx&KC9&#oL>2#}Elb&K&utKVWWykMe)S8iXM*S_SQCMaBPp71 zl?s^dF;TWYBxk<3bqu%Vg=TcUP4bsyL4c5v=`g9|vZx({+xMkSwR2Si`lQfZ-cqr? zd+)ItS(?YrD*UP36?4wqq6LwkIW3ip`^7$YVzq)H^iNUw|JqL9Q6@N*^_fQnS2*~w;KhpDVLw3`t6bSq{q$d zdvph;;(TZ7%l*XV`Urf@yy6%zxj^UqmDD7ve>&$_ULT%b$IQIN`6FCisVuB3Kd2wS z=sG~a3*4*|I-3wSc1ze|IgL5~c@cx{?!teol`u1ZHx{7ztW72f-vY(#!ch|vx%AScUL(7#+ zO?qXrelIIoo;V8V!6keYK zqY3(psuBxgULqbqwdXqsFVa8Zyi3Z%hT`oPt5(JKf8noLm0iE;y9q zg$g^RsapV#`>aJ)=i%*!gd1jMQVH8$urPk>#YN-Z$xUIw1E(bl*Z!WsRIMz+7s~7* zv^s4g=<=KiYNxD}CkkqmGXWv&-N+%bB)ArFQ-PN63+eQBdcLn3=S1HceWg@J^O+)RO+|hkxl=y~-o_j+zFp=ANMkqe_P`{Hy;y~aPMI`k~ zLQuuIh+yGh?&{`ZVe;uO=V)q+z{<^0;^PLx0b~X7vU4?o%U}#({^22;6);@?vc#1z zJpX#=Rl?vwQChH@v9ofUn=x8gf=n6NS=rbad005u8O{D0IL$0fxVb@1kSdrFC;$%| zJ4cgc4Gh5|6n9fl6d(=(iVK383LwYgCS@U2C1qB%aCLNdF|%+bW##6CNTdP;ZFoTc zF$oI(Pn!SH{7qPol$Dd`KUP*AmVYVhKa~4lnft%;KT%k@+5WY&|A!jd$h=jD}0gxf>X@F*kZS6lCE984RAOhm$27?KCP6d2~ctGC#d~*OukhU}cD}*lt z5DCo<`cI7X%)dO_Kk@o90l|>htbd}gKti(sewcrA{+mBPKPj^~>DyIsb3rh(0fKME zQ2mD_WdnrXq{)9Iw4DFglyU%!P@GNvIRH{9h+P8!36}kBG8-Ekq$U@@f&k*;CN&^s zd(%ODiGlbC2Bhq)Z+0#gZU|f+fC$n|48(=p5d+Og3`pO~rANxn$x6!3#_@L&E9kE) z$6Iwc*f=5J27od&3+q4aYJY8b^%Sk87t=pC-`FcB#t*kdb0^Dmxn!}MG$quPlc*TJ z51B3~K!=8M*pm;AkjI!90nFDW#Knb$u>c{)^rg*qpK`m{a?SPwenAvp2~Xse#=$S} zIg~Ul_Z{a~?c>*L7p04JbRQC$epy0p$wmz9en}u~i_JM~eU2lX1D~Z!|3PVcdIA7q z>g7;s9#3dK*QFZB*UEXFOzE6}1V?_wPi6L1&TQt-XFgXSXNkoe(#Kd0Ov%wkLtdD? z^TKeL=6>s2@p!i#_~r`P2o<<#D!W>#@Y~|w(tL~Xk$g^h4CA`*%75+S4RI$Fn?#lfJ<5a*;p5#yj?Eu{ip z_x#mEWy0sQv%wcN8*%;vI|nCg6Q>RhV$z|nEc9(cl(~c83s~g1K0q#BF2thnhZu%S z&ckc}#Vf<2yZjatNPrq+#Lv6{%NwpVES0HPszZr&hWrS126iT5MrvkY#^or1(uBS= zZ6?1=W5(7NxglOx>C`b0C969zn26T$?>fk3jR{jCVORpmAyVIRop#>InI)%ft zotVrxo&!t;&^;6F=!MuI{#PK&5L3EY2N~bac})d31s( z&J3sW=6mwH@ARbLWY_n+OmrVG-c@F@`P^!*dx1TbT%ASCp2N~zPrr@T2d6?0Mx-D3 zcsGEv)rO#i%Qxf-61VqBl%%!j5d}urJ z6@0q9(X?d4^UXRJPm4I1>W>~?>RIZ^svtNBf4^>Ba8*+|Yhq!Ve3R-A9ldamJdvx6 z{S|zrpj8wuj3-XhN7*l#v2d~sC22g%gfFU!`S>S~a1C{nK%eo<{?NXxC1*81D+>9D3vm z&P-m;e0jn!Ya-wTxP#97|k!t?G%-1G3n%17@}*rZ+z;k0{Xge=dUVKO4818blUMha+#mb}y>z z7moEoXdpC3(v`B~$ zZn-mhhYy}VGZDp^_tj0FKU8o<9d_??o#~%HBU81m^Y@l1g;3uvIMxWlm0B==hzq`I5JA^eFBgTU_nK~8M zFq$$b(A$`nJYgv)UKN&2cuciwNI+=WSiT=QuL4(-asw+?>7Txa!=ByeLbREu%}`yQ zk!VxMF)4cP7cw275HIXQp-5p%_IB6LG}d8f))~I*e!cZ8CU_OUIK9akPv0(yJ+k$I z4O*Jt)OtQD!tQK2qwLj$y1N6%$G^-ChtL#9L6M4WUULEwcI-vCejCD_2g0(f`CN-sw>p2nzz4n1r%)!K#v>rrIM=g50lTBZ`}RmC4OvX&weAFm4GqB6N3BmZ=%yS|>no8c4U*d>59;JrnFlu(KO4F` z8X{xp2b1Mg_p^$%o@`uyQMxs(&3wS!6It9o3m!_X*kMZq#+fv9YMdeWKw}E)BRS>2 z*oPwqeF!Ni?6fSpZ<++l-D+*V4~|-0rT#q#fUq!5I2CF}-546cF~Ywyazgjjk;&bS zpS=4Cjas#V_n2duv8gG_JT~wsoFDOZp_Rq-xV>E)Der*Iq0?zsRm9{5k590_H|C`$ zf30uL;>c*C$L0Fuxdx^4;*ZPxS;v^<)wtpVO|%FCEMlm8e6BB8vLheHHY~h;ICeO; zzr7KZ${|CN<`V(@3H<3z(;URZ{r1anIJ;0!Mk~D}kIz8m;1Su4;yHlrr4sz~jxY8`NhyY?#A# zczyR5SSPz@lYnTdD;qZ8Id=T^c5lo@v`t;Zk4jP~#Ac1|qr*OgwH>9{bIVq#6~aka zyzW&#Dj`yS$R`6Sb(Lvpn(+RQ<4AD2tZhoIAd?KL*&5AEq?xLq+SN0Q)OvMOLcK4n{VKS73b!7 z`rv!8Oq^Bur^|rgXAQ!xc$h*an+9>~M(C z=ym^yDr#19u62M4r~-|n-D}f)_ktI(Loj>~zWF)-LOlYo?Dkxw@$_1_0DAa#4~%MM zGI!Tcz4ffYil}^XumYq4o|Vl{e)qqZs&WX+yy?6XVYivIPKU`sl$li@ck;`m6BMqQ z;OJ?F?=DQ%&611GHe#4cH}OBM8|xS&Jawy@oSRslTfu+bDCadKuX3-9BY@9_J5=id zYYqMwoN+4K@QKQqO=KFf;#gwxhS}`*f|^PG*_eo~gYu zI??J>l8XV@o1a*a{97O&lMDypU+GlAMb|g-6+G5ctyTl7XK43A@|w%1pmtgq3B75b z)5*ItDBmB%E772Fif)pIfEtqcE$c8QyX(-0$q%E(#1A;f>83|+-nRJo@JHKrscGHB zg2jr)$UVx0%aZXMv;#7SF`>@rR+Zj7N$=P36r_O3*he0_WCuDUBe3V^JylyhXzKidK07{ zYt0k?t>3!DHiF-m1!jblPYTvb$fQU|bg)br^w3hyFZXPl!)qQA_m@^LpR z>U?)B?znQKOAp z$%bHg44sSA#)ERa&7-^)__{@K3pevP^=H*4yuyjs@ec)q*^X~*KCj02b?0n6 zPOOZOUo(9&8YPKqsAWwy^fmFdX(Mt!t@t--nEaOT_LJY$&Jd49r4^GWRB(0qn!z>;! z&lsk?$eAe({Xoh%TLm`>cS46!vh~H{vvJA$)K*31>c4p;imi^`31q857e`@V0(dCH zNXpoYTa60TZ*`OD*m&)Q-n^Ea&3+Q*eOhGq&k=Oj7H zczhyQzd2C(6+c20@hcXsZQ4YReu&*XHQcs(piGwroB%}GTdo-F*}m#M0|Di4=K z0`lVqNL6F0rB1G6gli@b{xBoVC*s78lze6H4XrF^@aP@Gb+zXqT&JS6Vk93PS~%ZB zix(deay>=8TkHkW4yGzClb_dfcayl!(rUfuiqOYdFI)MM%DM3y$xAA#5Zpg`ddFLK zg&(nq1$SC4pymo14W+B;>ORpsk-Szs;qf|C7R+6n5bw;mH>X2AvCxocG1F)*qJv(C zugJeCf-R}aRO?g-JwD$`+GS@UEN{GLUk*C#Lfv2W?-|&xpfCe^1dZLDjj8Gt_sJ~M zF^+m$JnTiu!4DWv`_F4E%!bB0O`2!ufI7?(X-91&8q|<8E62}FS_|%^Q_-SYirq|S zhBBJ9jg-!n(Y=D$h3YkRFpMN4w`4`6#Pqg{!HC7VJ4XYv$^5e91sD+?Pwa;mDT}05))e+tg#4Z+wihh*sO;{fRj3c^3~`rm3xD+R+VX9=n2x zSyw9aOJciPcof&ldPA9_mQ@wADWW7Rb+EtzXFxN&eDi?YLOQ}d9}6^0m;ti%ILBO^ zTc=6X+&k1>V(K#X0-h?u7L!@(j2Ue|#OBBb7U=jy*3Wfsl8F1}kEQBSl)=bXWuaeWjI@NH8H+ZL-l95PepD&Q>4 zk9!-~8`5*ZqzMDwQ-WWEJWK|pvygb2vQMxsXVxnB4Sl-@HtqYBC2eparbLqT=V$mQfjhI@w716F(zj=U zN26Bzt*2>z>IVipmB7=nz5Lv|8To@QLo36qF~12NC$j)Ykatm0GMG4bA8|~pr0DBc z$`qko&lg#B#QSDzkF?t+FB`roaEGUCWQo z$3kt zX!PV1q0}}sKaKmdeq#UR+M8`RJ>_kKV!=XC+nw#dP=7ni#}5c`&s8(Xpa36(iDsEr z^}ij7GvRD^d;N0ZT_CmxGqmxmPJWQ@Qikdcqw&jp4?vtNlWIh2@&~PU15jr7#9o z2$pm(yL1pn;(LK0j0v5Ly{t`QITgqBen)8axpyi3!F~ux2m#%ONOGT8nlw2jvGFK??!9009UA@PDxW zU_8)0P+h29$ZeDur~Z)croEIrJnbrUpI0D=dE)A29g7sgJmYTh-Fil|g0wc$EErM0 z__e0!;Q4epe*5mC_A+mzzN&C!-2oQ>Gjj-r{P)TH7dV03)B)uG?+4Vd9)JTuYy%ko z-|DM+00tzv4d4RtXaHbC2-*SSP2&v!HfTtCCx8LM)C|al7pOF3`0ZqjsPZ*wV5|i z!}%EC+~hqAAcOj6rKLFlD;mTe56B4N-hZ3Kf)C_t!a4vOsp)JIO*O%A07yU7|;cpjRo>q4Cn~W#tK0f2V%kh zgJ^-?)J)<)E?Ty~x%>}WWZ~-ON(y582i5vNitq*k#fbyi(Egi)$)`6A4a5e5Oo#)^ zpg15t5q>YQK8z~z%I|PariUj5_*!0hz7_^ri2)WSw=d~_az0r7fkRr@Y@SK<&kn77# zxFnW;qDEI1IS|t&a)mwJ3)HnK=8{{_T2JZ*{>)uP_vX$S$&6DaZlN9R%QD#6({^S& z0hPy$?hd2P(q-#9>qf`WwIu^UEvkhvR4rdYF+ecY6O0xuYo58aTs`8-P}~U^_A#0d zp}^vgurw=`J|!fB#c_xUKH&2~a`af?cR3DI5H>`&k0^_HAXrC(xbBm1%(gvC122<# zvs204yK+nn29-g0oZc|*%_&P(8k*#qc_Qba+=Z0bT&+R;lU7emWR0h{Nixf({0;urtek1 zrq95|3|As*wOQ_j^+D_h&X_*8PM+sk*y)1S0vW~=-ZC+d@4}V+UeDo^7O^F=kx^9u za)e?}_nKjsA~*Lr(|oxTL0&%5;(hnH!Ts4|3>woMB%s3^ll-@|N-td!c*nbpuFFsO z=88;(Jny*o`8l)qzAkY7fL>$1Z~(pL`#t~&s$DT#S$c;Rk?lvu?TM}AG@sKxj8)_K zsMNf`VCd;-BFLH|1E|5o4S2Yux&Hm~nLfoaa%lFcoZj<}>nOyiD9c}z)S)ci>!zUr ztPVL?Mw>NsDqa3&mU@K?K4IcFG^*q(Img+T8|clC$2DkLsn93*S;DmnDOoMlYc)~D zMVT)jThxt6k4Syr(JPH+j#Y!ai;9{oHiZW?=dJ9h=|T-%?|keVTl&i96SFXbnh?)* zd_7&AV9M%nLp=HqDq&`8k zChGk}3+6oP+uOTp`f$HB>n<%iI^3R`i#M*V*0OOEhrTwP#(()7%$v;ierWIlSaY(L z&>}qMlK=TTD_AlSQL#+OYrx@(B|ZJFG%(Q|v`R_JtFdRb$R9(C1$TN^mN^BX^ck-(WT9CI7!Hmw;wC}9xkz+J%J0jw$oF-9W8of31*kiWlpP68ucG6xICp!dl{c>ZMIXV9 zKd7F|aULjcYmXVo4M!T6qOWV_C6~X&%+|M7@|Gg%cC>0KYg3mjnx!BuJEmxGA;HBa!O6qQ z!p0@RBPsa*a{>PKG5Gs-{{X|u#s!&p`!oR)Ps`cMs}K!by7Ib~6IWVkl#jFKc1q5E z(S4l2{bZ9?CRP4TBd#)0nt_1Rl>g@U6cW4a&lzYKsXvXdF_wa zr**$8p;y=Qme-c+wtl?p@yF^Nf&wDlI1cKepLm#d71gJenkL7yan=@O?_G-LzY36m zA53CyZC|a&L$tep7keuB){!cvpSH$Ey!#a{o&n=(^5Itmum&yJ7@e}$R60mq8`>rj z1wRp9ClOhMHWZE_gj^en-UPd%k=opSusG35VFOZY=-zQBnrPPXi|?wZGY``eA+!v~ z#82KUksNn^WhMr*VwAARg=qIGP)8pRj8OS{1-m*Ll*rKAIwK=zjGQWpUlHc6jDoEU zuTk@X;4{t<+4|BLMs6`mx)`gv7&6wN>yxaSbM? z7`=VXofBkj8HurG@=^Bb)@!tz$vOgM0okAix3vKFPK5a+LJ) zS0Qr}D!>3=FpYLpW$8(X9pz-+yF1L>Rn>-m%t12y5i0TvMSMIr@7BQ^6B>`kD|!G| zKoQC``v_Zd`|fHV4ieMUnWu7!E+v&ptl|+mi>`@V^Rmg{ecwwGhDg!V7t&Kq{`*h7 z+jYqe0*p|Y8tlRYB@#0HK3^EPJD_t3vu9PTXZES514Z;f8jp{WA4N4z^%El&xt8qeBN*2`b>fe3w#AFC4(>L_ucH