From 0ed3b5170adfb1d818241d2714ed5afa8edee942 Mon Sep 17 00:00:00 2001 From: Matthias Hertel Date: Sat, 2 Dec 2023 13:16:55 +0100 Subject: [PATCH] BlinkMachine example compiling aith attiny85 --- examples/BlinkMachine/BlinkMachine.ino | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/examples/BlinkMachine/BlinkMachine.ino b/examples/BlinkMachine/BlinkMachine.ino index 2439e41..4b01664 100644 --- a/examples/BlinkMachine/BlinkMachine.ino +++ b/examples/BlinkMachine/BlinkMachine.ino @@ -63,6 +63,11 @@ MyActions; #define PIN_INPUT A1 #define PIN_LED 13 +#elif defined(ARDUINO_attiny) +// Example for Arduino UNO with input button on pin 2 and builtin LED on pin 13 +#define PIN_INPUT PB0 +#define PIN_LED PB1 + #elif defined(ESP8266) // Example for NodeMCU with input button using FLASH button on D3 and using the led on -12 module (D4). // This LED is lighting on output level LOW.