From 76aa482c6ec13e2c1bd71804b8661b32998885e4 Mon Sep 17 00:00:00 2001 From: "R.F. Kortekaas" Date: Fri, 27 Mar 2020 13:41:20 +0100 Subject: [PATCH 1/3] Added Bourns 3224W TrimPot DigiKey Partnumbers: 3224W-103ECT-ND 3224W-1-104ECT-ND 3224W-502ECT-ND 3224W-1-503ECT-ND 3224W-202ECT-ND 3224W-1-501ECT-ND 3224W-1-102ECT-ND 3224W-1-504ECT-ND 3224W-1-253ECT-ND 3224W-1-101ECT-ND 3224W-1-201ECT-ND 3224W-1-100ECT-ND 3224W-1-105ECT-ND 3224W-1-200ECT-ND 3224W-2-104ECT-ND 3224W-1-500ECT-ND 3224W-1-205ECT-ND 3224W-2-103ECT-ND 3224W-2-503ECT-ND 3224W-203ECT-ND 3224W-1-204ECT-ND 3224W-1-103GCT-ND 3224W-1-102GCT-ND 3224W-1-104GCT-ND 3224W-1-105GCT-ND --- STEP/Pot/BOURNS 3224W.STEP | 3853 +++++++++++++++++ ...TIOMETER - POT BOURNS TRIMPOT 3224W.PCBLIB | Bin 0 -> 139776 bytes 2 files changed, 3853 insertions(+) create mode 100644 STEP/Pot/BOURNS 3224W.STEP create mode 100644 footprints/Potentiometer/PCB - POTENTIOMETER - POT BOURNS TRIMPOT 3224W.PCBLIB diff --git a/STEP/Pot/BOURNS 3224W.STEP b/STEP/Pot/BOURNS 3224W.STEP new file mode 100644 index 0000000000..f49e57e2fe --- /dev/null +++ b/STEP/Pot/BOURNS 3224W.STEP @@ -0,0 +1,3853 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ + +FILE_DESCRIPTION( +/* description */ (''), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ +'C:\\Users\\Ronald Kortekaas\\surfdrive\\_ALTIUM\\UvA-new\\STEP\\Varia +ble Resistors\\Bourns_3224W.stp', +/* time_stamp */ '2020-03-27T10:19:22+01:00', +/* author */ ('Ronald Kortekaas'), +/* organization */ ('Bourns, Inc.'), +/* preprocessor_version */ 'ST-DEVELOPER v17.2', +/* originating_system */ 'Autodesk Inventor 2019', +/* authorisation */ ''); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); +ENDSEC; + +DATA; +#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#24,#25, +#26,#27,#28,#29,#30,#31,#32,#33,#34,#35,#36,#37,#38),#3565); +#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#3572,#12); +#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#13),#3564); +#13=MANIFOLD_SOLID_BREP('Solid2',#1841); +#14=( +BOUNDED_CURVE() +B_SPLINE_CURVE(2,(#2974,#2975,#2976),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.0760690470668919,-0.0655735041831281), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((2.56238101666906,2.47087880701811,2.37488370112046)) +REPRESENTATION_ITEM('') +); +#15=( +BOUNDED_CURVE() +B_SPLINE_CURVE(2,(#2977,#2978,#2979),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.514068414600941,-0.503572961258255), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((2.37488533572507,2.47087958440333,2.56238101349545)) +REPRESENTATION_ITEM('') +); +#16=( +BOUNDED_CURVE() +B_SPLINE_CURVE(2,(#2988,#2989,#2990),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.065573300271644,0.0760690518192367), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((2.37487997105834,2.47087707274391,2.5623810995328)) +REPRESENTATION_ITEM('') +); +#17=CONICAL_SURFACE('',#1944,0.723898262201596,0.78539816339867); +#18=CONICAL_SURFACE('',#1950,0.723897943523036,0.78539816339867); +#19=FACE_BOUND('',#255,.T.); +#20=FACE_BOUND('',#256,.T.); +#21=FACE_BOUND('',#259,.T.); +#22=FACE_BOUND('',#262,.T.); +#23=FACE_BOUND('',#266,.T.); +#24=STYLED_ITEM('',(#3582),#1774); +#25=STYLED_ITEM('',(#3582),#1775); +#26=STYLED_ITEM('',(#3582),#1776); +#27=STYLED_ITEM('',(#3582),#1777); +#28=STYLED_ITEM('',(#3582),#1779); +#29=STYLED_ITEM('',(#3582),#1780); +#30=STYLED_ITEM('',(#3583),#1782); +#31=STYLED_ITEM('',(#3583),#1783); +#32=STYLED_ITEM('',(#3583),#1784); +#33=STYLED_ITEM('',(#3583),#1785); +#34=STYLED_ITEM('',(#3583),#1786); +#35=STYLED_ITEM('',(#3583),#1787); +#36=STYLED_ITEM('',(#3583),#1788); +#37=STYLED_ITEM('',(#3583),#1789); +#38=STYLED_ITEM('',(#3581),#13); +#39=ELLIPSE('',#1922,0.302491427450008,0.177800000000025); +#40=ELLIPSE('',#1927,0.302491427450008,0.177800000000025); +#41=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2724,#2725,#2726,#2727),(#2728, +#2729,#2730,#2731),(#2732,#2733,#2734,#2735),(#2736,#2737,#2738,#2739), +(#2740,#2741,#2742,#2743),(#2744,#2745,#2746,#2747),(#2748,#2749,#2750, +#2751)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09478654757827, +0.,0.5000000000002,1.,1.096115324839),(-9.493154432097E-5,1.000000003702), + .UNSPECIFIED.); +#42=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2760,#2761,#2762,#2763),(#2764, +#2765,#2766,#2767),(#2768,#2769,#2770,#2771),(#2772,#2773,#2774,#2775), +(#2776,#2777,#2778,#2779),(#2780,#2781,#2782,#2783),(#2784,#2785,#2786, +#2787)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09381026661833, +0.,0.4999999999994,1.,1.094804951961),(-9.49256062706E-5,1.000000003702), + .UNSPECIFIED.); +#43=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2838,#2839,#2840,#2841),(#2842, +#2843,#2844,#2845),(#2846,#2847,#2848,#2849),(#2850,#2851,#2852,#2853), +(#2854,#2855,#2856,#2857),(#2858,#2859,#2860,#2861)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.2481247901047,0.,1.,1.240984849681),(-0.0001160775706607, +0.9999993708416),.UNSPECIFIED.); +#44=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3009,#3010,#3011,#3012),(#3013, +#3014,#3015,#3016),(#3017,#3018,#3019,#3020),(#3021,#3022,#3023,#3024), +(#3025,#3026,#3027,#3028),(#3029,#3030,#3031,#3032)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.09880350881871,0.,1.,1.096231862945),(-1.333954858837E-6, +0.9999900198167),.UNSPECIFIED.); +#45=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3089,#3090,#3091,#3092),(#3093, +#3094,#3095,#3096),(#3097,#3098,#3099,#3100),(#3101,#3102,#3103,#3104), +(#3105,#3106,#3107,#3108),(#3109,#3110,#3111,#3112)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.09606029201336,0.,1.,1.098312603462),(-1.33440130369E-6, +1.000005836121),.UNSPECIFIED.); +#46=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3179,#3180,#3181,#3182),(#3183, +#3184,#3185,#3186),(#3187,#3188,#3189,#3190),(#3191,#3192,#3193,#3194), +(#3195,#3196,#3197,#3198),(#3199,#3200,#3201,#3202),(#3203,#3204,#3205, +#3206)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.06943159461418, +0.,0.4999999999971,1.,1.072472184052),(-3.701410886126E-9,1.000006306043), + .UNSPECIFIED.); +#47=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3231,#3232,#3233,#3234),(#3235, +#3236,#3237,#3238),(#3239,#3240,#3241,#3242),(#3243,#3244,#3245,#3246), +(#3247,#3248,#3249,#3250),(#3251,#3252,#3253,#3254),(#3255,#3256,#3257, +#3258)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.07146287264558, +0.,0.4999999999969,1.,1.069455285087),(-3.699293024684E-9,1.000006305595), + .UNSPECIFIED.); +#48=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3352,#3353,#3354,#3355),(#3356, +#3357,#3358,#3359),(#3360,#3361,#3362,#3363),(#3364,#3365,#3366,#3367), +(#3368,#3369,#3370,#3371),(#3372,#3373,#3374,#3375)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.248124790105,0.,1.,1.240984849681),(-0.0001160775700703, +0.9999993708417),.UNSPECIFIED.); +#49=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3432,#3433,#3434,#3435),(#3436, +#3437,#3438,#3439),(#3440,#3441,#3442,#3443),(#3444,#3445,#3446,#3447), +(#3448,#3449,#3450,#3451),(#3452,#3453,#3454,#3455),(#3456,#3457,#3458, +#3459)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09478654757784, +0.,0.5000000000002,1.,1.096115324839),(-9.493154432766E-5,1.000000003702), + .UNSPECIFIED.); +#50=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3479,#3480,#3481,#3482),(#3483, +#3484,#3485,#3486),(#3487,#3488,#3489,#3490),(#3491,#3492,#3493,#3494), +(#3495,#3496,#3497,#3498),(#3499,#3500,#3501,#3502),(#3503,#3504,#3505, +#3506)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09381026661798, +0.,0.4999999999994,1.,1.094804951961),(-9.492560626939E-5,1.000000003702), + .UNSPECIFIED.); +#51=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3514,#3515,#3516,#3517),(#3518, +#3519,#3520,#3521),(#3522,#3523,#3524,#3525),(#3526,#3527,#3528,#3529), +(#3530,#3531,#3532,#3533),(#3534,#3535,#3536,#3537)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.2368447451957,0.,1.,1.248212467656),(-9.93702146221E-5, +0.9999993707903),.UNSPECIFIED.); +#52=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3538,#3539,#3540,#3541),(#3542, +#3543,#3544,#3545),(#3546,#3547,#3548,#3549),(#3550,#3551,#3552,#3553), +(#3554,#3555,#3556,#3557),(#3558,#3559,#3560,#3561)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.236844745196,0.,1.,1.248212467656),(-9.937021452495E-5, +0.9999993707903),.UNSPECIFIED.); +#53=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2677,#2678,#2679,#2680,#2681,#2682, +#2683,#2684,#2685,#2686),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.00000575015005E-6, +0.00123380696852392,0.0024862048322872,0.00386056582495288,0.0052879027718359), + .UNSPECIFIED.); +#54=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2688,#2689,#2690,#2691,#2692,#2693), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000396718804E-6,0.00115992924530918, +0.00230238670468814),.UNSPECIFIED.); +#55=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2699,#2700,#2701,#2702,#2703,#2704), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605306322328841,-0.00302696590621031, +-9.99652149372513E-7),.UNSPECIFIED.); +#56=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2705,#2706,#2707,#2708,#2709,#2710, +#2711,#2712,#2713,#2714,#2715,#2716,#2717,#2718,#2719,#2720,#2721,#2722, +#2723),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(0.,0.220816508237037,0.3333333333333, +0.489206192657986,0.6666666666667,0.89399645729282,1.),.UNSPECIFIED.); +#57=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2789,#2790,#2791,#2792,#2793,#2794, +#2795,#2796,#2797,#2798),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.00000294396185E-6, +0.00143645105021494,0.00281845167803618,0.00406722991353955,0.00529663069931113), + .UNSPECIFIED.); +#58=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2801,#2802,#2803,#2804,#2805,#2806, +#2807,#2808,#2809,#2810,#2811,#2812,#2813,#2814,#2815,#2816,#2817,#2818, +#2819),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(7.35419908645635E-11,0.227288414926828, +0.3333333333333,0.51076350489385,0.6666666666667,0.887512210624448,1.), + .UNSPECIFIED.); +#59=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2822,#2823,#2824,#2825,#2826,#2827), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605269206071522,-0.00302691377159409, +-1.00000159684351E-6),.UNSPECIFIED.); +#60=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2832,#2833,#2834,#2835,#2836,#2837), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000468062386E-6,0.00114308109550267, +0.00230162860949932),.UNSPECIFIED.); +#61=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2907,#2908,#2909,#2910,#2911,#2912), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.55792537424417E-5,0.00620283486813501, +0.0113466525069027),.UNSPECIFIED.); +#62=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2916,#2917,#2918,#2919,#2920,#2921), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.24759682597367E-5,0.00581757202148529, +0.012687703741415),.UNSPECIFIED.); +#63=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2993,#2994,#2995,#2996,#2997,#2998, +#2999,#3000,#3001,#3002,#3003,#3004,#3005),.UNSPECIFIED.,.F.,.F.,(4,3,3, +3,4),(-8.37140836872614E-6,0.0936446466955273,0.3333333333333,0.6666666666667, +0.999993511385095),.UNSPECIFIED.); +#64=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3034,#3035,#3036,#3037,#3038,#3039, +#3040,#3041,#3042,#3043,#3044,#3045,#3046,#3047,#3048,#3049), + .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.273441752917379,0.3333333333333, +0.6666666666667,0.95007628393359,1.),.UNSPECIFIED.); +#65=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3052,#3053,#3054,#3055,#3056,#3057), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00596050981763189,-0.00297595303522026, +-2.49364706131925E-5),.UNSPECIFIED.); +#66=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3060,#3061,#3062,#3063,#3064,#3065, +#3066,#3067,#3068,#3069),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.,0.3333333333333, +0.6666666666667,1.),.UNSPECIFIED.); +#67=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3073,#3074,#3075,#3076,#3077,#3078, +#3079,#3080,#3081,#3082),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.,0.3333333333333, +0.6666666666667,1.),.UNSPECIFIED.); +#68=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3083,#3084,#3085,#3086,#3087,#3088), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00581575601161234,-0.00293195266984108, +-9.99989158212162E-7),.UNSPECIFIED.); +#69=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3115,#3116,#3117,#3118,#3119,#3120, +#3121,#3122,#3123,#3124,#3125,#3126,#3127,#3128,#3129,#3130), + .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.27065979088697,0.3333333333333, +0.6666666666667,0.948735885329666,1.),.UNSPECIFIED.); +#70=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3133,#3134,#3135,#3136,#3137,#3138, +#3139,#3140,#3141,#3142),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.0183569795391286, +-0.0137961919317161,-0.00916128750871987,-0.0045935375743174,-1.00000078799176E-6), + .UNSPECIFIED.); +#71=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3169,#3170,#3171,#3172,#3173,#3174, +#3175,#3176,#3177,#3178),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.0183600863185385, +-0.0137667890570082,-0.00919828348612021,-0.00456257688785396,-1.00000079804793E-6), + .UNSPECIFIED.); +#72=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3211,#3212,#3213,#3214,#3215,#3216), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00948291629798651,-0.00461972991329758, +-1.00003119075301E-6),.UNSPECIFIED.); +#73=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3220,#3221,#3222,#3223,#3224,#3225), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00771071904344865,-0.00395926901564186, +-1.0000215080269E-6),.UNSPECIFIED.); +#74=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3327,#3328,#3329,#3330,#3331,#3332, +#3333,#3334,#3335,#3336,#3337,#3338,#3339,#3340,#3341,#3342,#3343,#3344, +#3345),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(1.52546096237112E-10,0.227288415002531, +0.3333333333333,0.5107635048939,0.6666666666667,0.887512210613693,1.), + .UNSPECIFIED.); +#75=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3346,#3347,#3348,#3349,#3350,#3351), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605269194909099,-0.0030269129979853, +-1.00000056369064E-6),.UNSPECIFIED.); +#76=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3378,#3379,#3380,#3381,#3382,#3383), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000468068608E-6,0.00114308109554715, +0.0023016286094967),.UNSPECIFIED.); +#77=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3387,#3388,#3389,#3390,#3391,#3392, +#3393,#3394,#3395,#3396),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.00529647974705414, +-0.00404937544316255,-0.00278208606070887,-0.00141763824498154,-1.00000290148539E-6), + .UNSPECIFIED.); +#78=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3407,#3408,#3409,#3410,#3411,#3412), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605306322277138,-0.00302696590620829, +-9.99652156635829E-7),.UNSPECIFIED.); +#79=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3413,#3414,#3415,#3416,#3417,#3418, +#3419,#3420,#3421,#3422,#3423,#3424,#3425,#3426,#3427,#3428,#3429,#3430, +#3431),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(0.,0.220816508237234,0.3333333333333, +0.489206192658008,0.6666666666667,0.893996457286346,1.),.UNSPECIFIED.); +#80=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3464,#3465,#3466,#3467,#3468,#3469, +#3470,#3471,#3472,#3473),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.00528793735653128, +-0.00386876906091736,-0.00250202891149227,-0.00124154279516438,-1.00000578118369E-6), + .UNSPECIFIED.); +#81=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3508,#3509,#3510,#3511,#3512,#3513), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000396718652E-6,0.00115992924525438, +0.00230238670467601),.UNSPECIFIED.); +#82=CYLINDRICAL_SURFACE('',#1907,0.203199999999213); +#83=CYLINDRICAL_SURFACE('',#1911,0.0761999999999238); +#84=CYLINDRICAL_SURFACE('',#1916,0.0762000000002286); +#85=CYLINDRICAL_SURFACE('',#1917,0.203200000000127); +#86=CYLINDRICAL_SURFACE('',#1918,0.177800000000178); +#87=CYLINDRICAL_SURFACE('',#1919,0.203200000000127); +#88=CYLINDRICAL_SURFACE('',#1920,0.0762000000002286); +#89=CYLINDRICAL_SURFACE('',#1921,0.177800000000025); +#90=CYLINDRICAL_SURFACE('',#1934,0.812799999999746); +#91=CYLINDRICAL_SURFACE('',#1938,0.762); +#92=CYLINDRICAL_SURFACE('',#1954,0.203199999998908); +#93=CYLINDRICAL_SURFACE('',#1955,0.0761999999999746); +#94=CYLINDRICAL_SURFACE('',#1962,0.0761999999999746); +#95=CYLINDRICAL_SURFACE('',#1964,0.203199999998908); +#96=CYLINDRICAL_SURFACE('',#1968,0.292100000000254); +#97=CYLINDRICAL_SURFACE('',#1971,0.203199999998451); +#98=CYLINDRICAL_SURFACE('',#1975,0.0761999999998476); +#99=CYLINDRICAL_SURFACE('',#1987,0.177800000000025); +#100=CYLINDRICAL_SURFACE('',#1994,0.203199999999213); +#101=CYLINDRICAL_SURFACE('',#1997,0.203200000000127); +#102=CYLINDRICAL_SURFACE('',#1998,0.0762000000002286); +#103=CYLINDRICAL_SURFACE('',#2001,0.0761999999999238); +#104=CYLINDRICAL_SURFACE('',#2003,0.203200000000127); +#105=CYLINDRICAL_SURFACE('',#2004,0.177800000000178); +#106=CYLINDRICAL_SURFACE('',#2005,0.0762000000002286); +#107=FACE_OUTER_BOUND('',#214,.T.); +#108=FACE_OUTER_BOUND('',#215,.T.); +#109=FACE_OUTER_BOUND('',#216,.T.); +#110=FACE_OUTER_BOUND('',#217,.T.); +#111=FACE_OUTER_BOUND('',#218,.T.); +#112=FACE_OUTER_BOUND('',#219,.T.); +#113=FACE_OUTER_BOUND('',#220,.T.); +#114=FACE_OUTER_BOUND('',#221,.T.); +#115=FACE_OUTER_BOUND('',#222,.T.); +#116=FACE_OUTER_BOUND('',#223,.T.); +#117=FACE_OUTER_BOUND('',#224,.T.); +#118=FACE_OUTER_BOUND('',#225,.T.); +#119=FACE_OUTER_BOUND('',#226,.T.); +#120=FACE_OUTER_BOUND('',#227,.T.); +#121=FACE_OUTER_BOUND('',#228,.T.); +#122=FACE_OUTER_BOUND('',#229,.T.); +#123=FACE_OUTER_BOUND('',#230,.T.); +#124=FACE_OUTER_BOUND('',#231,.T.); +#125=FACE_OUTER_BOUND('',#232,.T.); +#126=FACE_OUTER_BOUND('',#233,.T.); +#127=FACE_OUTER_BOUND('',#234,.T.); +#128=FACE_OUTER_BOUND('',#235,.T.); +#129=FACE_OUTER_BOUND('',#236,.T.); +#130=FACE_OUTER_BOUND('',#237,.T.); +#131=FACE_OUTER_BOUND('',#238,.T.); +#132=FACE_OUTER_BOUND('',#239,.T.); +#133=FACE_OUTER_BOUND('',#240,.T.); +#134=FACE_OUTER_BOUND('',#241,.T.); +#135=FACE_OUTER_BOUND('',#242,.T.); +#136=FACE_OUTER_BOUND('',#243,.T.); +#137=FACE_OUTER_BOUND('',#244,.T.); +#138=FACE_OUTER_BOUND('',#245,.T.); +#139=FACE_OUTER_BOUND('',#246,.T.); +#140=FACE_OUTER_BOUND('',#247,.T.); +#141=FACE_OUTER_BOUND('',#248,.T.); +#142=FACE_OUTER_BOUND('',#249,.T.); +#143=FACE_OUTER_BOUND('',#250,.T.); +#144=FACE_OUTER_BOUND('',#251,.T.); +#145=FACE_OUTER_BOUND('',#252,.T.); +#146=FACE_OUTER_BOUND('',#253,.T.); +#147=FACE_OUTER_BOUND('',#254,.T.); +#148=FACE_OUTER_BOUND('',#257,.T.); +#149=FACE_OUTER_BOUND('',#258,.T.); +#150=FACE_OUTER_BOUND('',#260,.T.); +#151=FACE_OUTER_BOUND('',#261,.T.); +#152=FACE_OUTER_BOUND('',#263,.T.); +#153=FACE_OUTER_BOUND('',#264,.T.); +#154=FACE_OUTER_BOUND('',#265,.T.); +#155=FACE_OUTER_BOUND('',#267,.T.); +#156=FACE_OUTER_BOUND('',#268,.T.); +#157=FACE_OUTER_BOUND('',#269,.T.); +#158=FACE_OUTER_BOUND('',#270,.T.); +#159=FACE_OUTER_BOUND('',#271,.T.); +#160=FACE_OUTER_BOUND('',#272,.T.); +#161=FACE_OUTER_BOUND('',#273,.T.); +#162=FACE_OUTER_BOUND('',#274,.T.); +#163=FACE_OUTER_BOUND('',#275,.T.); +#164=FACE_OUTER_BOUND('',#276,.T.); +#165=FACE_OUTER_BOUND('',#277,.T.); +#166=FACE_OUTER_BOUND('',#278,.T.); +#167=FACE_OUTER_BOUND('',#279,.T.); +#168=FACE_OUTER_BOUND('',#280,.T.); +#169=FACE_OUTER_BOUND('',#281,.T.); +#170=FACE_OUTER_BOUND('',#282,.T.); +#171=FACE_OUTER_BOUND('',#283,.T.); +#172=FACE_OUTER_BOUND('',#284,.T.); +#173=FACE_OUTER_BOUND('',#285,.T.); +#174=FACE_OUTER_BOUND('',#286,.T.); +#175=FACE_OUTER_BOUND('',#287,.T.); +#176=FACE_OUTER_BOUND('',#288,.T.); +#177=FACE_OUTER_BOUND('',#289,.T.); +#178=FACE_OUTER_BOUND('',#290,.T.); +#179=FACE_OUTER_BOUND('',#291,.T.); +#180=FACE_OUTER_BOUND('',#292,.T.); +#181=FACE_OUTER_BOUND('',#293,.T.); +#182=FACE_OUTER_BOUND('',#294,.T.); +#183=FACE_OUTER_BOUND('',#295,.T.); +#184=FACE_OUTER_BOUND('',#296,.T.); +#185=FACE_OUTER_BOUND('',#297,.T.); +#186=FACE_OUTER_BOUND('',#298,.T.); +#187=FACE_OUTER_BOUND('',#299,.T.); +#188=FACE_OUTER_BOUND('',#300,.T.); +#189=FACE_OUTER_BOUND('',#301,.T.); +#190=FACE_OUTER_BOUND('',#302,.T.); +#191=FACE_OUTER_BOUND('',#303,.T.); +#192=FACE_OUTER_BOUND('',#304,.T.); +#193=FACE_OUTER_BOUND('',#305,.T.); +#194=FACE_OUTER_BOUND('',#306,.T.); +#195=FACE_OUTER_BOUND('',#307,.T.); +#196=FACE_OUTER_BOUND('',#308,.T.); +#197=FACE_OUTER_BOUND('',#309,.T.); +#198=FACE_OUTER_BOUND('',#310,.T.); +#199=FACE_OUTER_BOUND('',#311,.T.); +#200=FACE_OUTER_BOUND('',#312,.T.); +#201=FACE_OUTER_BOUND('',#313,.T.); +#202=FACE_OUTER_BOUND('',#314,.T.); +#203=FACE_OUTER_BOUND('',#315,.T.); +#204=FACE_OUTER_BOUND('',#316,.T.); +#205=FACE_OUTER_BOUND('',#317,.T.); +#206=FACE_OUTER_BOUND('',#318,.T.); +#207=FACE_OUTER_BOUND('',#319,.T.); +#208=FACE_OUTER_BOUND('',#320,.T.); +#209=FACE_OUTER_BOUND('',#321,.T.); +#210=FACE_OUTER_BOUND('',#322,.T.); +#211=FACE_OUTER_BOUND('',#323,.T.); +#212=FACE_OUTER_BOUND('',#324,.T.); +#213=FACE_OUTER_BOUND('',#325,.T.); +#214=EDGE_LOOP('',(#1146,#1147,#1148,#1149)); +#215=EDGE_LOOP('',(#1150,#1151,#1152,#1153)); +#216=EDGE_LOOP('',(#1154,#1155,#1156,#1157)); +#217=EDGE_LOOP('',(#1158,#1159,#1160,#1161)); +#218=EDGE_LOOP('',(#1162,#1163,#1164,#1165)); +#219=EDGE_LOOP('',(#1166,#1167,#1168,#1169)); +#220=EDGE_LOOP('',(#1170,#1171,#1172,#1173)); +#221=EDGE_LOOP('',(#1174,#1175,#1176,#1177)); +#222=EDGE_LOOP('',(#1178,#1179,#1180,#1181)); +#223=EDGE_LOOP('',(#1182,#1183,#1184,#1185)); +#224=EDGE_LOOP('',(#1186,#1187,#1188,#1189)); +#225=EDGE_LOOP('',(#1190,#1191,#1192,#1193)); +#226=EDGE_LOOP('',(#1194,#1195,#1196,#1197)); +#227=EDGE_LOOP('',(#1198,#1199,#1200,#1201)); +#228=EDGE_LOOP('',(#1202,#1203,#1204,#1205)); +#229=EDGE_LOOP('',(#1206,#1207,#1208,#1209)); +#230=EDGE_LOOP('',(#1210,#1211,#1212,#1213)); +#231=EDGE_LOOP('',(#1214,#1215,#1216,#1217)); +#232=EDGE_LOOP('',(#1218,#1219,#1220,#1221)); +#233=EDGE_LOOP('',(#1222,#1223,#1224,#1225)); +#234=EDGE_LOOP('',(#1226,#1227,#1228,#1229)); +#235=EDGE_LOOP('',(#1230,#1231,#1232,#1233)); +#236=EDGE_LOOP('',(#1234,#1235,#1236,#1237)); +#237=EDGE_LOOP('',(#1238,#1239,#1240,#1241)); +#238=EDGE_LOOP('',(#1242,#1243,#1244,#1245)); +#239=EDGE_LOOP('',(#1246,#1247,#1248,#1249,#1250)); +#240=EDGE_LOOP('',(#1251,#1252,#1253,#1254,#1255)); +#241=EDGE_LOOP('',(#1256,#1257,#1258,#1259)); +#242=EDGE_LOOP('',(#1260,#1261,#1262,#1263)); +#243=EDGE_LOOP('',(#1264,#1265,#1266,#1267,#1268,#1269)); +#244=EDGE_LOOP('',(#1270,#1271,#1272,#1273,#1274,#1275)); +#245=EDGE_LOOP('',(#1276,#1277,#1278,#1279,#1280)); +#246=EDGE_LOOP('',(#1281,#1282,#1283,#1284,#1285)); +#247=EDGE_LOOP('',(#1286,#1287,#1288,#1289,#1290)); +#248=EDGE_LOOP('',(#1291,#1292,#1293,#1294,#1295,#1296)); +#249=EDGE_LOOP('',(#1297,#1298,#1299,#1300,#1301)); +#250=EDGE_LOOP('',(#1302,#1303,#1304,#1305,#1306)); +#251=EDGE_LOOP('',(#1307,#1308,#1309,#1310,#1311)); +#252=EDGE_LOOP('',(#1312,#1313,#1314,#1315,#1316)); +#253=EDGE_LOOP('',(#1317,#1318,#1319,#1320,#1321,#1322,#1323,#1324)); +#254=EDGE_LOOP('',(#1325,#1326,#1327,#1328)); +#255=EDGE_LOOP('',(#1329,#1330,#1331,#1332,#1333,#1334)); +#256=EDGE_LOOP('',(#1335,#1336,#1337,#1338,#1339,#1340)); +#257=EDGE_LOOP('',(#1341,#1342,#1343,#1344)); +#258=EDGE_LOOP('',(#1345,#1346,#1347,#1348)); +#259=EDGE_LOOP('',(#1349,#1350,#1351,#1352,#1353,#1354,#1355,#1356,#1357, +#1358,#1359,#1360)); +#260=EDGE_LOOP('',(#1361,#1362,#1363,#1364)); +#261=EDGE_LOOP('',(#1365,#1366,#1367,#1368)); +#262=EDGE_LOOP('',(#1369)); +#263=EDGE_LOOP('',(#1370,#1371,#1372,#1373)); +#264=EDGE_LOOP('',(#1374,#1375,#1376,#1377)); +#265=EDGE_LOOP('',(#1378)); +#266=EDGE_LOOP('',(#1379)); +#267=EDGE_LOOP('',(#1380,#1381,#1382,#1383,#1384,#1385,#1386,#1387,#1388, +#1389,#1390,#1391)); +#268=EDGE_LOOP('',(#1392,#1393,#1394,#1395)); +#269=EDGE_LOOP('',(#1396,#1397)); +#270=EDGE_LOOP('',(#1398,#1399,#1400,#1401,#1402,#1403)); +#271=EDGE_LOOP('',(#1404,#1405,#1406,#1407)); +#272=EDGE_LOOP('',(#1408,#1409,#1410,#1411,#1412,#1413)); +#273=EDGE_LOOP('',(#1414,#1415,#1416,#1417,#1418)); +#274=EDGE_LOOP('',(#1419,#1420)); +#275=EDGE_LOOP('',(#1421,#1422,#1423,#1424)); +#276=EDGE_LOOP('',(#1425,#1426,#1427,#1428,#1429,#1430)); +#277=EDGE_LOOP('',(#1431,#1432,#1433,#1434)); +#278=EDGE_LOOP('',(#1435,#1436,#1437,#1438,#1439)); +#279=EDGE_LOOP('',(#1440,#1441,#1442,#1443)); +#280=EDGE_LOOP('',(#1444,#1445,#1446,#1447)); +#281=EDGE_LOOP('',(#1448,#1449,#1450,#1451,#1452,#1453,#1454,#1455)); +#282=EDGE_LOOP('',(#1456,#1457,#1458,#1459)); +#283=EDGE_LOOP('',(#1460,#1461,#1462,#1463)); +#284=EDGE_LOOP('',(#1464,#1465,#1466,#1467)); +#285=EDGE_LOOP('',(#1468,#1469,#1470,#1471,#1472)); +#286=EDGE_LOOP('',(#1473,#1474,#1475,#1476,#1477,#1478)); +#287=EDGE_LOOP('',(#1479,#1480,#1481,#1482)); +#288=EDGE_LOOP('',(#1483,#1484,#1485,#1486,#1487,#1488)); +#289=EDGE_LOOP('',(#1489,#1490,#1491,#1492,#1493,#1494)); +#290=EDGE_LOOP('',(#1495,#1496,#1497,#1498,#1499,#1500)); +#291=EDGE_LOOP('',(#1501,#1502,#1503,#1504)); +#292=EDGE_LOOP('',(#1505,#1506,#1507,#1508)); +#293=EDGE_LOOP('',(#1509,#1510,#1511,#1512)); +#294=EDGE_LOOP('',(#1513,#1514,#1515,#1516)); +#295=EDGE_LOOP('',(#1517,#1518,#1519,#1520)); +#296=EDGE_LOOP('',(#1521,#1522,#1523,#1524)); +#297=EDGE_LOOP('',(#1525,#1526,#1527,#1528)); +#298=EDGE_LOOP('',(#1529,#1530,#1531,#1532,#1533,#1534)); +#299=EDGE_LOOP('',(#1535,#1536,#1537,#1538)); +#300=EDGE_LOOP('',(#1539,#1540,#1541,#1542)); +#301=EDGE_LOOP('',(#1543,#1544,#1545,#1546)); +#302=EDGE_LOOP('',(#1547,#1548,#1549,#1550)); +#303=EDGE_LOOP('',(#1551,#1552,#1553,#1554,#1555,#1556)); +#304=EDGE_LOOP('',(#1557,#1558,#1559,#1560)); +#305=EDGE_LOOP('',(#1561,#1562,#1563,#1564,#1565,#1566,#1567,#1568)); +#306=EDGE_LOOP('',(#1569,#1570,#1571,#1572)); +#307=EDGE_LOOP('',(#1573,#1574,#1575,#1576)); +#308=EDGE_LOOP('',(#1577,#1578,#1579,#1580,#1581)); +#309=EDGE_LOOP('',(#1582,#1583,#1584,#1585,#1586)); +#310=EDGE_LOOP('',(#1587,#1588,#1589,#1590)); +#311=EDGE_LOOP('',(#1591,#1592,#1593,#1594)); +#312=EDGE_LOOP('',(#1595,#1596,#1597,#1598,#1599,#1600)); +#313=EDGE_LOOP('',(#1601,#1602,#1603,#1604,#1605)); +#314=EDGE_LOOP('',(#1606,#1607,#1608,#1609,#1610)); +#315=EDGE_LOOP('',(#1611,#1612,#1613,#1614,#1615)); +#316=EDGE_LOOP('',(#1616,#1617,#1618,#1619,#1620,#1621)); +#317=EDGE_LOOP('',(#1622,#1623,#1624,#1625)); +#318=EDGE_LOOP('',(#1626,#1627,#1628,#1629)); +#319=EDGE_LOOP('',(#1630,#1631,#1632,#1633,#1634)); +#320=EDGE_LOOP('',(#1635,#1636,#1637,#1638,#1639)); +#321=EDGE_LOOP('',(#1640,#1641,#1642,#1643,#1644,#1645)); +#322=EDGE_LOOP('',(#1646,#1647,#1648,#1649,#1650)); +#323=EDGE_LOOP('',(#1651,#1652,#1653,#1654,#1655)); +#324=EDGE_LOOP('',(#1656,#1657,#1658,#1659,#1660)); +#325=EDGE_LOOP('',(#1661,#1662,#1663,#1664,#1665)); +#326=CIRCLE('',#1862,0.0761999999998476); +#327=CIRCLE('',#1863,0.203199999998451); +#328=CIRCLE('',#1867,0.0761999999998476); +#329=CIRCLE('',#1868,0.203199999998451); +#330=CIRCLE('',#1871,0.0761999999999746); +#331=CIRCLE('',#1872,0.203199999998908); +#332=CIRCLE('',#1876,0.203199999999213); +#333=CIRCLE('',#1877,0.0761999999999238); +#334=CIRCLE('',#1879,0.203200000000127); +#335=CIRCLE('',#1880,0.0762000000002286); +#336=CIRCLE('',#1883,0.0761999999999238); +#337=CIRCLE('',#1884,0.203199999999213); +#338=CIRCLE('',#1887,0.0762000000002286); +#339=CIRCLE('',#1888,0.203200000000127); +#340=CIRCLE('',#1892,0.203200000000127); +#341=CIRCLE('',#1893,0.0762000000002286); +#342=CIRCLE('',#1895,0.0761999999999238); +#343=CIRCLE('',#1896,0.203199999999213); +#344=CIRCLE('',#1900,0.0761999999999238); +#345=CIRCLE('',#1901,0.203199999999213); +#346=CIRCLE('',#1904,0.0762000000002286); +#347=CIRCLE('',#1905,0.203200000000127); +#348=CIRCLE('',#1913,0.177800000000178); +#349=CIRCLE('',#1915,0.177800000000178); +#350=CIRCLE('',#1923,0.177800000000025); +#351=CIRCLE('',#1924,0.177800000000025); +#352=CIRCLE('',#1925,0.177800000000025); +#353=CIRCLE('',#1932,0.812799999999746); +#354=CIRCLE('',#1935,0.812799999999746); +#355=CIRCLE('',#1937,0.762); +#356=CIRCLE('',#1939,0.762); +#357=CIRCLE('',#1940,0.762); +#358=CIRCLE('',#1941,0.761998630069288); +#359=CIRCLE('',#1942,0.762); +#360=CIRCLE('',#1943,0.762); +#361=CIRCLE('',#1945,0.685797856064232); +#362=CIRCLE('',#1951,0.685797855938502); +#363=CIRCLE('',#1956,0.0761999999999746); +#364=CIRCLE('',#1961,0.0761999999999746); +#365=CIRCLE('',#1963,0.0761999999999746); +#366=CIRCLE('',#1965,0.203199999998908); +#367=CIRCLE('',#1967,0.292100000000254); +#368=CIRCLE('',#1969,0.292100000000254); +#369=CIRCLE('',#1984,0.177800000000025); +#370=CIRCLE('',#1986,0.177800000000025); +#371=CIRCLE('',#1988,0.177800000000025); +#372=CIRCLE('',#1996,0.177800000000178); +#373=CIRCLE('',#2000,0.177800000000178); +#374=LINE('',#2480,#552); +#375=LINE('',#2484,#553); +#376=LINE('',#2488,#554); +#377=LINE('',#2490,#555); +#378=LINE('',#2491,#556); +#379=LINE('',#2494,#557); +#380=LINE('',#2496,#558); +#381=LINE('',#2497,#559); +#382=LINE('',#2501,#560); +#383=LINE('',#2505,#561); +#384=LINE('',#2509,#562); +#385=LINE('',#2511,#563); +#386=LINE('',#2512,#564); +#387=LINE('',#2517,#565); +#388=LINE('',#2522,#566); +#389=LINE('',#2524,#567); +#390=LINE('',#2526,#568); +#391=LINE('',#2527,#569); +#392=LINE('',#2530,#570); +#393=LINE('',#2532,#571); +#394=LINE('',#2533,#572); +#395=LINE('',#2538,#573); +#396=LINE('',#2543,#574); +#397=LINE('',#2547,#575); +#398=LINE('',#2551,#576); +#399=LINE('',#2553,#577); +#400=LINE('',#2554,#578); +#401=LINE('',#2558,#579); +#402=LINE('',#2562,#580); +#403=LINE('',#2566,#581); +#404=LINE('',#2568,#582); +#405=LINE('',#2569,#583); +#406=LINE('',#2574,#584); +#407=LINE('',#2578,#585); +#408=LINE('',#2580,#586); +#409=LINE('',#2581,#587); +#410=LINE('',#2585,#588); +#411=LINE('',#2587,#589); +#412=LINE('',#2589,#590); +#413=LINE('',#2590,#591); +#414=LINE('',#2595,#592); +#415=LINE('',#2601,#593); +#416=LINE('',#2605,#594); +#417=LINE('',#2607,#595); +#418=LINE('',#2608,#596); +#419=LINE('',#2612,#597); +#420=LINE('',#2614,#598); +#421=LINE('',#2616,#599); +#422=LINE('',#2617,#600); +#423=LINE('',#2622,#601); +#424=LINE('',#2626,#602); +#425=LINE('',#2628,#603); +#426=LINE('',#2629,#604); +#427=LINE('',#2633,#605); +#428=LINE('',#2637,#606); +#429=LINE('',#2641,#607); +#430=LINE('',#2643,#608); +#431=LINE('',#2644,#609); +#432=LINE('',#2646,#610); +#433=LINE('',#2647,#611); +#434=LINE('',#2650,#612); +#435=LINE('',#2651,#613); +#436=LINE('',#2653,#614); +#437=LINE('',#2655,#615); +#438=LINE('',#2656,#616); +#439=LINE('',#2658,#617); +#440=LINE('',#2660,#618); +#441=LINE('',#2663,#619); +#442=LINE('',#2666,#620); +#443=LINE('',#2667,#621); +#444=LINE('',#2669,#622); +#445=LINE('',#2671,#623); +#446=LINE('',#2674,#624); +#447=LINE('',#2694,#625); +#448=LINE('',#2697,#626); +#449=LINE('',#2753,#627); +#450=LINE('',#2754,#628); +#451=LINE('',#2755,#629); +#452=LINE('',#2758,#630); +#453=LINE('',#2759,#631); +#454=LINE('',#2800,#632); +#455=LINE('',#2828,#633); +#456=LINE('',#2831,#634); +#457=LINE('',#2863,#635); +#458=LINE('',#2864,#636); +#459=LINE('',#2871,#637); +#460=LINE('',#2872,#638); +#461=LINE('',#2878,#639); +#462=LINE('',#2880,#640); +#463=LINE('',#2882,#641); +#464=LINE('',#2883,#642); +#465=LINE('',#2884,#643); +#466=LINE('',#2885,#644); +#467=LINE('',#2886,#645); +#468=LINE('',#2887,#646); +#469=LINE('',#2889,#647); +#470=LINE('',#2892,#648); +#471=LINE('',#2896,#649); +#472=LINE('',#2897,#650); +#473=LINE('',#2898,#651); +#474=LINE('',#2901,#652); +#475=LINE('',#2903,#653); +#476=LINE('',#2904,#654); +#477=LINE('',#2914,#655); +#478=LINE('',#2922,#656); +#479=LINE('',#2924,#657); +#480=LINE('',#2926,#658); +#481=LINE('',#2928,#659); +#482=LINE('',#2930,#660); +#483=LINE('',#2932,#661); +#484=LINE('',#2934,#662); +#485=LINE('',#2935,#663); +#486=LINE('',#2937,#664); +#487=LINE('',#2939,#665); +#488=LINE('',#2945,#666); +#489=LINE('',#2952,#667); +#490=LINE('',#2956,#668); +#491=LINE('',#2960,#669); +#492=LINE('',#2964,#670); +#493=LINE('',#2968,#671); +#494=LINE('',#2981,#672); +#495=LINE('',#2983,#673); +#496=LINE('',#2985,#674); +#497=LINE('',#2992,#675); +#498=LINE('',#3051,#676); +#499=LINE('',#3071,#677); +#500=LINE('',#3114,#678); +#501=LINE('',#3143,#679); +#502=LINE('',#3146,#680); +#503=LINE('',#3150,#681); +#504=LINE('',#3151,#682); +#505=LINE('',#3154,#683); +#506=LINE('',#3155,#684); +#507=LINE('',#3157,#685); +#508=LINE('',#3158,#686); +#509=LINE('',#3160,#687); +#510=LINE('',#3168,#688); +#511=LINE('',#3208,#689); +#512=LINE('',#3210,#690); +#513=LINE('',#3229,#691); +#514=LINE('',#3230,#692); +#515=LINE('',#3260,#693); +#516=LINE('',#3262,#694); +#517=LINE('',#3265,#695); +#518=LINE('',#3266,#696); +#519=LINE('',#3268,#697); +#520=LINE('',#3270,#698); +#521=LINE('',#3271,#699); +#522=LINE('',#3273,#700); +#523=LINE('',#3284,#701); +#524=LINE('',#3287,#702); +#525=LINE('',#3290,#703); +#526=LINE('',#3294,#704); +#527=LINE('',#3295,#705); +#528=LINE('',#3297,#706); +#529=LINE('',#3299,#707); +#530=LINE('',#3302,#708); +#531=LINE('',#3305,#709); +#532=LINE('',#3307,#710); +#533=LINE('',#3308,#711); +#534=LINE('',#3310,#712); +#535=LINE('',#3311,#713); +#536=LINE('',#3313,#714); +#537=LINE('',#3314,#715); +#538=LINE('',#3317,#716); +#539=LINE('',#3319,#717); +#540=LINE('',#3321,#718); +#541=LINE('',#3324,#719); +#542=LINE('',#3377,#720); +#543=LINE('',#3386,#721); +#544=LINE('',#3398,#722); +#545=LINE('',#3401,#723); +#546=LINE('',#3402,#724); +#547=LINE('',#3461,#725); +#548=LINE('',#3463,#726); +#549=LINE('',#3474,#727); +#550=LINE('',#3477,#728); +#551=LINE('',#3478,#729); +#552=VECTOR('',#2010,0.126999999999263); +#553=VECTOR('',#2013,0.126999999999314); +#554=VECTOR('',#2018,0.2921); +#555=VECTOR('',#2019,0.126999999999467); +#556=VECTOR('',#2020,0.2921); +#557=VECTOR('',#2023,0.91083743404666); +#558=VECTOR('',#2024,0.126999999999975); +#559=VECTOR('',#2025,0.91083743404666); +#560=VECTOR('',#2028,0.126999999999314); +#561=VECTOR('',#2031,0.126999999999314); +#562=VECTOR('',#2036,0.2921); +#563=VECTOR('',#2037,0.126999999999467); +#564=VECTOR('',#2038,0.2921); +#565=VECTOR('',#2043,0.127053395926838); +#566=VECTOR('',#2048,0.126999999999695); +#567=VECTOR('',#2049,0.6837426); +#568=VECTOR('',#2050,0.127000000000051); +#569=VECTOR('',#2051,0.18249167774675); +#570=VECTOR('',#2054,0.6837426); +#571=VECTOR('',#2055,0.127); +#572=VECTOR('',#2056,0.18249167774675); +#573=VECTOR('',#2061,0.127000000000076); +#574=VECTOR('',#2066,0.127); +#575=VECTOR('',#2069,0.126999999999947); +#576=VECTOR('',#2074,0.174653531762959); +#577=VECTOR('',#2075,0.1569806331425); +#578=VECTOR('',#2076,0.0823826307062876); +#579=VECTOR('',#2079,0.12699999999967); +#580=VECTOR('',#2082,0.127000000000102); +#581=VECTOR('',#2087,0.321035238121026); +#582=VECTOR('',#2088,0.127); +#583=VECTOR('',#2089,0.321035238121026); +#584=VECTOR('',#2094,0.126999999999947); +#585=VECTOR('',#2099,0.082382630706267); +#586=VECTOR('',#2100,0.156980633142475); +#587=VECTOR('',#2101,0.174653531762934); +#588=VECTOR('',#2104,0.127000000000076); +#589=VECTOR('',#2105,0.321035238121026); +#590=VECTOR('',#2106,0.127); +#591=VECTOR('',#2107,0.321035238121026); +#592=VECTOR('',#2112,0.126999999999947); +#593=VECTOR('',#2119,0.126999999999644); +#594=VECTOR('',#2124,0.174653531762959); +#595=VECTOR('',#2125,0.156980633142475); +#596=VECTOR('',#2126,0.0823826307062876); +#597=VECTOR('',#2129,0.126999999999619); +#598=VECTOR('',#2130,0.6837426); +#599=VECTOR('',#2131,0.126999999999949); +#600=VECTOR('',#2132,0.6837426); +#601=VECTOR('',#2137,0.127000000000102); +#602=VECTOR('',#2142,0.6837426); +#603=VECTOR('',#2143,0.127); +#604=VECTOR('',#2144,0.6837426); +#605=VECTOR('',#2147,0.127); +#606=VECTOR('',#2150,0.126999999999973); +#607=VECTOR('',#2155,0.082382630706267); +#608=VECTOR('',#2156,0.156980633142475); +#609=VECTOR('',#2157,0.174653531762934); +#610=VECTOR('',#2160,0.8128); +#611=VECTOR('',#2161,0.8128); +#612=VECTOR('',#2164,0.866234277746674); +#613=VECTOR('',#2165,0.8128); +#614=VECTOR('',#2168,0.8128); +#615=VECTOR('',#2169,0.866234277746674); +#616=VECTOR('',#2170,0.8128); +#617=VECTOR('',#2173,0.127000000000051); +#618=VECTOR('',#2176,0.8128); +#619=VECTOR('',#2179,0.245322373103472); +#620=VECTOR('',#2182,0.245322373103472); +#621=VECTOR('',#2183,0.321035238121026); +#622=VECTOR('',#2186,0.321035238121026); +#623=VECTOR('',#2187,0.245322373103523); +#624=VECTOR('',#2190,0.245322373103472); +#625=VECTOR('',#2193,0.232006706074357); +#626=VECTOR('',#2196,0.2320065656627); +#627=VECTOR('',#2197,0.127); +#628=VECTOR('',#2198,0.127000493274018); +#629=VECTOR('',#2199,0.127); +#630=VECTOR('',#2202,0.127); +#631=VECTOR('',#2203,0.127); +#632=VECTOR('',#2204,0.12700000000005); +#633=VECTOR('',#2207,0.232006843874742); +#634=VECTOR('',#2210,0.232006706074382); +#635=VECTOR('',#2211,0.127000000000004); +#636=VECTOR('',#2212,0.127000000000004); +#637=VECTOR('',#2219,0.127000000000005); +#638=VECTOR('',#2220,0.127000000000005); +#639=VECTOR('',#2227,4.826); +#640=VECTOR('',#2228,5.08); +#641=VECTOR('',#2229,4.826); +#642=VECTOR('',#2230,5.08); +#643=VECTOR('',#2231,0.8128); +#644=VECTOR('',#2232,0.272538439314716); +#645=VECTOR('',#2233,0.272538439314716); +#646=VECTOR('',#2234,0.8128); +#647=VECTOR('',#2235,0.272538439314716); +#648=VECTOR('',#2238,0.272538439314716); +#649=VECTOR('',#2241,4.826); +#650=VECTOR('',#2242,3.5306); +#651=VECTOR('',#2243,3.5306); +#652=VECTOR('',#2246,5.08); +#653=VECTOR('',#2247,4.826); +#654=VECTOR('',#2248,5.08); +#655=VECTOR('',#2249,10.); +#656=VECTOR('',#2250,0.353040843572222); +#657=VECTOR('',#2251,0.127); +#658=VECTOR('',#2252,0.355514591201298); +#659=VECTOR('',#2253,1.016); +#660=VECTOR('',#2254,0.355514591201298); +#661=VECTOR('',#2255,0.127); +#662=VECTOR('',#2256,0.127053395926863); +#663=VECTOR('',#2257,10.); +#664=VECTOR('',#2260,3.5306); +#665=VECTOR('',#2263,3.5306); +#666=VECTOR('',#2270,0.812799999999746); +#667=VECTOR('',#2279,0.762); +#668=VECTOR('',#2282,10.); +#669=VECTOR('',#2285,10.); +#670=VECTOR('',#2288,0.431800736917007); +#671=VECTOR('',#2291,0.431801298708078); +#672=VECTOR('',#2300,10.); +#673=VECTOR('',#2303,10.); +#674=VECTOR('',#2306,10.); +#675=VECTOR('',#2309,10.); +#676=VECTOR('',#2316,0.105575328154684); +#677=VECTOR('',#2319,0.583633960489968); +#678=VECTOR('',#2320,0.105575328154686); +#679=VECTOR('',#2323,0.362209996456892); +#680=VECTOR('',#2326,0.127); +#681=VECTOR('',#2331,0.2794); +#682=VECTOR('',#2332,0.0725931999999746); +#683=VECTOR('',#2335,1.016); +#684=VECTOR('',#2336,1.27); +#685=VECTOR('',#2337,0.127); +#686=VECTOR('',#2338,0.2794); +#687=VECTOR('',#2341,0.0725931999999746); +#688=VECTOR('',#2354,0.362209996456892); +#689=VECTOR('',#2355,0.12699999999967); +#690=VECTOR('',#2356,0.12699999999967); +#691=VECTOR('',#2365,0.12699999999967); +#692=VECTOR('',#2366,0.12699999999967); +#693=VECTOR('',#2369,1.27); +#694=VECTOR('',#2372,1.27); +#695=VECTOR('',#2375,0.91083743404666); +#696=VECTOR('',#2376,1.27); +#697=VECTOR('',#2379,1.27); +#698=VECTOR('',#2380,0.91083743404666); +#699=VECTOR('',#2381,1.27); +#700=VECTOR('',#2384,0.127000000000051); +#701=VECTOR('',#2403,0.232006843874742); +#702=VECTOR('',#2406,0.232006565662674); +#703=VECTOR('',#2409,0.232006706074357); +#704=VECTOR('',#2414,0.126999999999995); +#705=VECTOR('',#2415,0.126999999999995); +#706=VECTOR('',#2416,0.127000000000001); +#707=VECTOR('',#2417,0.127000000000001); +#708=VECTOR('',#2422,0.232006706074382); +#709=VECTOR('',#2425,0.866234277746674); +#710=VECTOR('',#2426,0.126999999999949); +#711=VECTOR('',#2427,0.866234277746674); +#712=VECTOR('',#2430,0.8128); +#713=VECTOR('',#2431,0.8128); +#714=VECTOR('',#2434,0.8128); +#715=VECTOR('',#2435,0.8128); +#716=VECTOR('',#2440,0.8128); +#717=VECTOR('',#2443,0.321035238121026); +#718=VECTOR('',#2444,0.245322373103472); +#719=VECTOR('',#2447,0.245322373103472); +#720=VECTOR('',#2450,0.12700000000005); +#721=VECTOR('',#2453,0.245322373103498); +#722=VECTOR('',#2456,0.8128); +#723=VECTOR('',#2459,0.245322373103498); +#724=VECTOR('',#2460,0.321035238121026); +#725=VECTOR('',#2467,0.127); +#726=VECTOR('',#2468,0.127000493274021); +#727=VECTOR('',#2469,0.127); +#728=VECTOR('',#2472,0.127); +#729=VECTOR('',#2473,0.127); +#730=VERTEX_POINT('',#2478); +#731=VERTEX_POINT('',#2479); +#732=VERTEX_POINT('',#2481); +#733=VERTEX_POINT('',#2483); +#734=VERTEX_POINT('',#2487); +#735=VERTEX_POINT('',#2489); +#736=VERTEX_POINT('',#2493); +#737=VERTEX_POINT('',#2495); +#738=VERTEX_POINT('',#2499); +#739=VERTEX_POINT('',#2500); +#740=VERTEX_POINT('',#2502); +#741=VERTEX_POINT('',#2504); +#742=VERTEX_POINT('',#2508); +#743=VERTEX_POINT('',#2510); +#744=VERTEX_POINT('',#2514); +#745=VERTEX_POINT('',#2516); +#746=VERTEX_POINT('',#2520); +#747=VERTEX_POINT('',#2521); +#748=VERTEX_POINT('',#2523); +#749=VERTEX_POINT('',#2525); +#750=VERTEX_POINT('',#2529); +#751=VERTEX_POINT('',#2531); +#752=VERTEX_POINT('',#2535); +#753=VERTEX_POINT('',#2537); +#754=VERTEX_POINT('',#2541); +#755=VERTEX_POINT('',#2542); +#756=VERTEX_POINT('',#2544); +#757=VERTEX_POINT('',#2546); +#758=VERTEX_POINT('',#2550); +#759=VERTEX_POINT('',#2552); +#760=VERTEX_POINT('',#2556); +#761=VERTEX_POINT('',#2557); +#762=VERTEX_POINT('',#2559); +#763=VERTEX_POINT('',#2561); +#764=VERTEX_POINT('',#2565); +#765=VERTEX_POINT('',#2567); +#766=VERTEX_POINT('',#2571); +#767=VERTEX_POINT('',#2573); +#768=VERTEX_POINT('',#2577); +#769=VERTEX_POINT('',#2579); +#770=VERTEX_POINT('',#2583); +#771=VERTEX_POINT('',#2584); +#772=VERTEX_POINT('',#2586); +#773=VERTEX_POINT('',#2588); +#774=VERTEX_POINT('',#2592); +#775=VERTEX_POINT('',#2594); +#776=VERTEX_POINT('',#2598); +#777=VERTEX_POINT('',#2600); +#778=VERTEX_POINT('',#2604); +#779=VERTEX_POINT('',#2606); +#780=VERTEX_POINT('',#2610); +#781=VERTEX_POINT('',#2611); +#782=VERTEX_POINT('',#2613); +#783=VERTEX_POINT('',#2615); +#784=VERTEX_POINT('',#2619); +#785=VERTEX_POINT('',#2621); +#786=VERTEX_POINT('',#2625); +#787=VERTEX_POINT('',#2627); +#788=VERTEX_POINT('',#2631); +#789=VERTEX_POINT('',#2632); +#790=VERTEX_POINT('',#2634); +#791=VERTEX_POINT('',#2636); +#792=VERTEX_POINT('',#2640); +#793=VERTEX_POINT('',#2642); +#794=VERTEX_POINT('',#2649); +#795=VERTEX_POINT('',#2654); +#796=VERTEX_POINT('',#2662); +#797=VERTEX_POINT('',#2664); +#798=VERTEX_POINT('',#2670); +#799=VERTEX_POINT('',#2672); +#800=VERTEX_POINT('',#2676); +#801=VERTEX_POINT('',#2687); +#802=VERTEX_POINT('',#2696); +#803=VERTEX_POINT('',#2698); +#804=VERTEX_POINT('',#2752); +#805=VERTEX_POINT('',#2757); +#806=VERTEX_POINT('',#2788); +#807=VERTEX_POINT('',#2799); +#808=VERTEX_POINT('',#2821); +#809=VERTEX_POINT('',#2830); +#810=VERTEX_POINT('',#2862); +#811=VERTEX_POINT('',#2866); +#812=VERTEX_POINT('',#2867); +#813=VERTEX_POINT('',#2870); +#814=VERTEX_POINT('',#2876); +#815=VERTEX_POINT('',#2877); +#816=VERTEX_POINT('',#2879); +#817=VERTEX_POINT('',#2881); +#818=VERTEX_POINT('',#2888); +#819=VERTEX_POINT('',#2890); +#820=VERTEX_POINT('',#2894); +#821=VERTEX_POINT('',#2895); +#822=VERTEX_POINT('',#2900); +#823=VERTEX_POINT('',#2902); +#824=VERTEX_POINT('',#2905); +#825=VERTEX_POINT('',#2906); +#826=VERTEX_POINT('',#2913); +#827=VERTEX_POINT('',#2915); +#828=VERTEX_POINT('',#2923); +#829=VERTEX_POINT('',#2925); +#830=VERTEX_POINT('',#2927); +#831=VERTEX_POINT('',#2929); +#832=VERTEX_POINT('',#2931); +#833=VERTEX_POINT('',#2933); +#834=VERTEX_POINT('',#2940); +#835=VERTEX_POINT('',#2944); +#836=VERTEX_POINT('',#2948); +#837=VERTEX_POINT('',#2951); +#838=VERTEX_POINT('',#2953); +#839=VERTEX_POINT('',#2955); +#840=VERTEX_POINT('',#2957); +#841=VERTEX_POINT('',#2959); +#842=VERTEX_POINT('',#2961); +#843=VERTEX_POINT('',#2963); +#844=VERTEX_POINT('',#2965); +#845=VERTEX_POINT('',#2967); +#846=VERTEX_POINT('',#2971); +#847=VERTEX_POINT('',#2972); +#848=VERTEX_POINT('',#2987); +#849=VERTEX_POINT('',#2991); +#850=VERTEX_POINT('',#3033); +#851=VERTEX_POINT('',#3050); +#852=VERTEX_POINT('',#3059); +#853=VERTEX_POINT('',#3070); +#854=VERTEX_POINT('',#3072); +#855=VERTEX_POINT('',#3113); +#856=VERTEX_POINT('',#3132); +#857=VERTEX_POINT('',#3145); +#858=VERTEX_POINT('',#3149); +#859=VERTEX_POINT('',#3153); +#860=VERTEX_POINT('',#3156); +#861=VERTEX_POINT('',#3167); +#862=VERTEX_POINT('',#3207); +#863=VERTEX_POINT('',#3209); +#864=VERTEX_POINT('',#3218); +#865=VERTEX_POINT('',#3228); +#866=VERTEX_POINT('',#3264); +#867=VERTEX_POINT('',#3269); +#868=VERTEX_POINT('',#3283); +#869=VERTEX_POINT('',#3285); +#870=VERTEX_POINT('',#3289); +#871=VERTEX_POINT('',#3293); +#872=VERTEX_POINT('',#3296); +#873=VERTEX_POINT('',#3298); +#874=VERTEX_POINT('',#3304); +#875=VERTEX_POINT('',#3306); +#876=VERTEX_POINT('',#3320); +#877=VERTEX_POINT('',#3322); +#878=VERTEX_POINT('',#3326); +#879=VERTEX_POINT('',#3376); +#880=VERTEX_POINT('',#3385); +#881=VERTEX_POINT('',#3399); +#882=VERTEX_POINT('',#3406); +#883=VERTEX_POINT('',#3460); +#884=VERTEX_POINT('',#3462); +#885=VERTEX_POINT('',#3476); +#886=EDGE_CURVE('',#730,#731,#374,.T.); +#887=EDGE_CURVE('',#732,#730,#326,.T.); +#888=EDGE_CURVE('',#732,#733,#375,.T.); +#889=EDGE_CURVE('',#731,#733,#327,.T.); +#890=EDGE_CURVE('',#734,#731,#376,.T.); +#891=EDGE_CURVE('',#735,#734,#377,.T.); +#892=EDGE_CURVE('',#735,#730,#378,.T.); +#893=EDGE_CURVE('',#732,#736,#379,.T.); +#894=EDGE_CURVE('',#737,#736,#380,.T.); +#895=EDGE_CURVE('',#733,#737,#381,.T.); +#896=EDGE_CURVE('',#738,#739,#382,.T.); +#897=EDGE_CURVE('',#740,#738,#328,.T.); +#898=EDGE_CURVE('',#740,#741,#383,.T.); +#899=EDGE_CURVE('',#739,#741,#329,.T.); +#900=EDGE_CURVE('',#740,#742,#384,.T.); +#901=EDGE_CURVE('',#742,#743,#385,.T.); +#902=EDGE_CURVE('',#741,#743,#386,.T.); +#903=EDGE_CURVE('',#744,#742,#330,.T.); +#904=EDGE_CURVE('',#744,#745,#387,.T.); +#905=EDGE_CURVE('',#743,#745,#331,.T.); +#906=EDGE_CURVE('',#746,#747,#388,.T.); +#907=EDGE_CURVE('',#746,#748,#389,.T.); +#908=EDGE_CURVE('',#748,#749,#390,.T.); +#909=EDGE_CURVE('',#749,#747,#391,.T.); +#910=EDGE_CURVE('',#748,#750,#392,.T.); +#911=EDGE_CURVE('',#751,#750,#393,.T.); +#912=EDGE_CURVE('',#751,#749,#394,.T.); +#913=EDGE_CURVE('',#752,#747,#332,.T.); +#914=EDGE_CURVE('',#753,#752,#395,.T.); +#915=EDGE_CURVE('',#746,#753,#333,.T.); +#916=EDGE_CURVE('',#754,#755,#396,.T.); +#917=EDGE_CURVE('',#756,#754,#334,.T.); +#918=EDGE_CURVE('',#756,#757,#397,.T.); +#919=EDGE_CURVE('',#755,#757,#335,.T.); +#920=EDGE_CURVE('',#758,#756,#398,.T.); +#921=EDGE_CURVE('',#759,#758,#399,.T.); +#922=EDGE_CURVE('',#759,#757,#400,.T.); +#923=EDGE_CURVE('',#760,#761,#401,.T.); +#924=EDGE_CURVE('',#762,#760,#336,.T.); +#925=EDGE_CURVE('',#762,#763,#402,.T.); +#926=EDGE_CURVE('',#761,#763,#337,.T.); +#927=EDGE_CURVE('',#764,#762,#403,.T.); +#928=EDGE_CURVE('',#764,#765,#404,.T.); +#929=EDGE_CURVE('',#763,#765,#405,.T.); +#930=EDGE_CURVE('',#766,#764,#338,.T.); +#931=EDGE_CURVE('',#766,#767,#406,.T.); +#932=EDGE_CURVE('',#765,#767,#339,.T.); +#933=EDGE_CURVE('',#766,#768,#407,.T.); +#934=EDGE_CURVE('',#769,#768,#408,.T.); +#935=EDGE_CURVE('',#769,#767,#409,.T.); +#936=EDGE_CURVE('',#770,#771,#410,.T.); +#937=EDGE_CURVE('',#772,#770,#411,.T.); +#938=EDGE_CURVE('',#772,#773,#412,.T.); +#939=EDGE_CURVE('',#771,#773,#413,.T.); +#940=EDGE_CURVE('',#774,#772,#340,.T.); +#941=EDGE_CURVE('',#774,#775,#414,.T.); +#942=EDGE_CURVE('',#773,#775,#341,.T.); +#943=EDGE_CURVE('',#776,#771,#342,.T.); +#944=EDGE_CURVE('',#777,#776,#415,.T.); +#945=EDGE_CURVE('',#770,#777,#343,.T.); +#946=EDGE_CURVE('',#774,#778,#416,.T.); +#947=EDGE_CURVE('',#779,#778,#417,.T.); +#948=EDGE_CURVE('',#779,#775,#418,.T.); +#949=EDGE_CURVE('',#780,#781,#419,.T.); +#950=EDGE_CURVE('',#780,#782,#420,.T.); +#951=EDGE_CURVE('',#782,#783,#421,.T.); +#952=EDGE_CURVE('',#781,#783,#422,.T.); +#953=EDGE_CURVE('',#784,#781,#344,.T.); +#954=EDGE_CURVE('',#785,#784,#423,.T.); +#955=EDGE_CURVE('',#780,#785,#345,.T.); +#956=EDGE_CURVE('',#782,#786,#424,.T.); +#957=EDGE_CURVE('',#787,#786,#425,.T.); +#958=EDGE_CURVE('',#783,#787,#426,.T.); +#959=EDGE_CURVE('',#788,#789,#427,.T.); +#960=EDGE_CURVE('',#790,#788,#346,.T.); +#961=EDGE_CURVE('',#790,#791,#428,.T.); +#962=EDGE_CURVE('',#789,#791,#347,.T.); +#963=EDGE_CURVE('',#792,#790,#429,.T.); +#964=EDGE_CURVE('',#793,#792,#430,.T.); +#965=EDGE_CURVE('',#793,#791,#431,.T.); +#966=EDGE_CURVE('',#761,#747,#432,.T.); +#967=EDGE_CURVE('',#752,#763,#433,.T.); +#968=EDGE_CURVE('',#794,#761,#434,.T.); +#969=EDGE_CURVE('',#794,#751,#435,.T.); +#970=EDGE_CURVE('',#746,#760,#436,.T.); +#971=EDGE_CURVE('',#760,#795,#437,.T.); +#972=EDGE_CURVE('',#750,#795,#438,.T.); +#973=EDGE_CURVE('',#795,#794,#439,.T.); +#974=EDGE_CURVE('',#762,#753,#440,.T.); +#975=EDGE_CURVE('',#765,#796,#441,.T.); +#976=EDGE_CURVE('',#797,#796,#348,.T.); +#977=EDGE_CURVE('',#797,#754,#442,.T.); +#978=EDGE_CURVE('',#752,#754,#443,.T.); +#979=EDGE_CURVE('',#755,#753,#444,.T.); +#980=EDGE_CURVE('',#755,#798,#445,.T.); +#981=EDGE_CURVE('',#799,#798,#349,.T.); +#982=EDGE_CURVE('',#799,#764,#446,.T.); +#983=EDGE_CURVE('',#800,#799,#53,.T.); +#984=EDGE_CURVE('',#801,#800,#54,.T.); +#985=EDGE_CURVE('',#766,#801,#447,.T.); +#986=EDGE_CURVE('',#802,#767,#448,.T.); +#987=EDGE_CURVE('',#803,#802,#55,.T.); +#988=EDGE_CURVE('',#796,#803,#56,.T.); +#989=EDGE_CURVE('',#796,#804,#449,.T.); +#990=EDGE_CURVE('',#800,#803,#450,.T.); +#991=EDGE_CURVE('',#804,#799,#451,.T.); +#992=EDGE_CURVE('',#798,#805,#452,.T.); +#993=EDGE_CURVE('',#805,#797,#453,.T.); +#994=EDGE_CURVE('',#798,#806,#57,.T.); +#995=EDGE_CURVE('',#807,#806,#454,.T.); +#996=EDGE_CURVE('',#807,#797,#58,.T.); +#997=EDGE_CURVE('',#808,#807,#59,.T.); +#998=EDGE_CURVE('',#756,#808,#455,.T.); +#999=EDGE_CURVE('',#809,#757,#456,.T.); +#1000=EDGE_CURVE('',#806,#809,#60,.T.); +#1001=EDGE_CURVE('',#808,#810,#457,.T.); +#1002=EDGE_CURVE('',#810,#809,#458,.T.); +#1003=EDGE_CURVE('',#811,#812,#39,.T.); +#1004=EDGE_CURVE('',#812,#801,#350,.T.); +#1005=EDGE_CURVE('',#801,#813,#459,.T.); +#1006=EDGE_CURVE('',#813,#802,#460,.T.); +#1007=EDGE_CURVE('',#802,#808,#351,.T.); +#1008=EDGE_CURVE('',#809,#811,#352,.T.); +#1009=EDGE_CURVE('',#814,#815,#461,.T.); +#1010=EDGE_CURVE('',#816,#815,#462,.T.); +#1011=EDGE_CURVE('',#817,#816,#463,.T.); +#1012=EDGE_CURVE('',#817,#814,#464,.T.); +#1013=EDGE_CURVE('',#769,#758,#465,.T.); +#1014=EDGE_CURVE('',#812,#768,#466,.T.); +#1015=EDGE_CURVE('',#759,#811,#467,.T.); +#1016=EDGE_CURVE('',#793,#778,#468,.T.); +#1017=EDGE_CURVE('',#818,#792,#469,.T.); +#1018=EDGE_CURVE('',#819,#818,#40,.T.); +#1019=EDGE_CURVE('',#779,#819,#470,.T.); +#1020=EDGE_CURVE('',#820,#821,#471,.T.); +#1021=EDGE_CURVE('',#815,#821,#472,.T.); +#1022=EDGE_CURVE('',#820,#814,#473,.T.); +#1023=EDGE_CURVE('',#822,#820,#474,.T.); +#1024=EDGE_CURVE('',#823,#822,#475,.T.); +#1025=EDGE_CURVE('',#821,#823,#476,.T.); +#1026=EDGE_CURVE('',#824,#825,#61,.T.); +#1027=EDGE_CURVE('',#825,#826,#477,.T.); +#1028=EDGE_CURVE('',#826,#827,#62,.T.); +#1029=EDGE_CURVE('',#827,#745,#478,.T.); +#1030=EDGE_CURVE('',#744,#828,#479,.T.); +#1031=EDGE_CURVE('',#829,#828,#480,.T.); +#1032=EDGE_CURVE('',#830,#829,#481,.T.); +#1033=EDGE_CURVE('',#831,#830,#482,.T.); +#1034=EDGE_CURVE('',#831,#832,#483,.T.); +#1035=EDGE_CURVE('',#833,#832,#484,.T.); +#1036=EDGE_CURVE('',#833,#824,#485,.T.); +#1037=EDGE_CURVE('',#823,#816,#486,.T.); +#1038=EDGE_CURVE('',#822,#817,#487,.T.); +#1039=EDGE_CURVE('',#834,#834,#353,.T.); +#1040=EDGE_CURVE('',#834,#835,#488,.T.); +#1041=EDGE_CURVE('',#835,#835,#354,.T.); +#1042=EDGE_CURVE('',#836,#836,#355,.T.); +#1043=EDGE_CURVE('',#836,#837,#489,.T.); +#1044=EDGE_CURVE('',#837,#838,#356,.T.); +#1045=EDGE_CURVE('',#839,#838,#490,.T.); +#1046=EDGE_CURVE('',#839,#840,#357,.T.); +#1047=EDGE_CURVE('',#841,#840,#491,.T.); +#1048=EDGE_CURVE('',#841,#842,#358,.T.); +#1049=EDGE_CURVE('',#843,#842,#492,.T.); +#1050=EDGE_CURVE('',#843,#844,#359,.T.); +#1051=EDGE_CURVE('',#845,#844,#493,.T.); +#1052=EDGE_CURVE('',#845,#837,#360,.T.); +#1053=EDGE_CURVE('',#846,#847,#361,.T.); +#1054=EDGE_CURVE('',#847,#842,#14,.T.); +#1055=EDGE_CURVE('',#841,#846,#15,.T.); +#1056=EDGE_CURVE('',#846,#847,#494,.T.); +#1057=EDGE_CURVE('',#840,#843,#495,.T.); +#1058=EDGE_CURVE('',#844,#839,#496,.T.); +#1059=EDGE_CURVE('',#838,#848,#16,.T.); +#1060=EDGE_CURVE('',#849,#848,#497,.T.); +#1061=EDGE_CURVE('',#849,#845,#63,.T.); +#1062=EDGE_CURVE('',#849,#848,#362,.T.); +#1063=EDGE_CURVE('',#850,#824,#64,.T.); +#1064=EDGE_CURVE('',#851,#850,#498,.T.); +#1065=EDGE_CURVE('',#851,#825,#65,.T.); +#1066=EDGE_CURVE('',#852,#851,#66,.T.); +#1067=EDGE_CURVE('',#853,#852,#499,.T.); +#1068=EDGE_CURVE('',#854,#853,#67,.T.); +#1069=EDGE_CURVE('',#826,#854,#68,.T.); +#1070=EDGE_CURVE('',#854,#855,#500,.T.); +#1071=EDGE_CURVE('',#855,#827,#69,.T.); +#1072=EDGE_CURVE('',#856,#855,#70,.T.); +#1073=EDGE_CURVE('',#743,#856,#501,.T.); +#1074=EDGE_CURVE('',#857,#742,#502,.T.); +#1075=EDGE_CURVE('',#857,#828,#363,.T.); +#1076=EDGE_CURVE('',#857,#858,#503,.T.); +#1077=EDGE_CURVE('',#858,#829,#504,.T.); +#1078=EDGE_CURVE('',#859,#858,#505,.T.); +#1079=EDGE_CURVE('',#740,#730,#506,.T.); +#1080=EDGE_CURVE('',#735,#860,#507,.T.); +#1081=EDGE_CURVE('',#860,#859,#508,.T.); +#1082=EDGE_CURVE('',#830,#859,#509,.T.); +#1083=EDGE_CURVE('',#831,#860,#364,.T.); +#1084=EDGE_CURVE('',#735,#832,#365,.T.); +#1085=EDGE_CURVE('',#833,#734,#366,.T.); +#1086=EDGE_CURVE('',#861,#734,#510,.T.); +#1087=EDGE_CURVE('',#850,#861,#71,.T.); +#1088=EDGE_CURVE('',#862,#861,#511,.T.); +#1089=EDGE_CURVE('',#863,#862,#512,.T.); +#1090=EDGE_CURVE('',#863,#852,#72,.T.); +#1091=EDGE_CURVE('',#864,#863,#367,.T.); +#1092=EDGE_CURVE('',#853,#864,#73,.T.); +#1093=EDGE_CURVE('',#861,#856,#368,.T.); +#1094=EDGE_CURVE('',#856,#865,#513,.T.); +#1095=EDGE_CURVE('',#865,#864,#514,.T.); +#1096=EDGE_CURVE('',#731,#741,#515,.T.); +#1097=EDGE_CURVE('',#739,#733,#516,.T.); +#1098=EDGE_CURVE('',#866,#739,#517,.T.); +#1099=EDGE_CURVE('',#866,#737,#518,.T.); +#1100=EDGE_CURVE('',#732,#738,#519,.T.); +#1101=EDGE_CURVE('',#738,#867,#520,.T.); +#1102=EDGE_CURVE('',#736,#867,#521,.T.); +#1103=EDGE_CURVE('',#867,#866,#522,.T.); +#1104=EDGE_CURVE('',#774,#868,#523,.T.); +#1105=EDGE_CURVE('',#869,#868,#369,.T.); +#1106=EDGE_CURVE('',#869,#791,#524,.T.); +#1107=EDGE_CURVE('',#790,#870,#525,.T.); +#1108=EDGE_CURVE('',#818,#870,#370,.T.); +#1109=EDGE_CURVE('',#870,#871,#526,.T.); +#1110=EDGE_CURVE('',#871,#869,#527,.T.); +#1111=EDGE_CURVE('',#868,#872,#528,.T.); +#1112=EDGE_CURVE('',#872,#873,#529,.T.); +#1113=EDGE_CURVE('',#873,#819,#371,.T.); +#1114=EDGE_CURVE('',#873,#775,#530,.T.); +#1115=EDGE_CURVE('',#874,#776,#531,.T.); +#1116=EDGE_CURVE('',#875,#874,#532,.T.); +#1117=EDGE_CURVE('',#777,#875,#533,.T.); +#1118=EDGE_CURVE('',#780,#777,#534,.T.); +#1119=EDGE_CURVE('',#786,#875,#535,.T.); +#1120=EDGE_CURVE('',#776,#781,#536,.T.); +#1121=EDGE_CURVE('',#874,#787,#537,.T.); +#1122=EDGE_CURVE('',#770,#785,#538,.T.); +#1123=EDGE_CURVE('',#789,#785,#539,.T.); +#1124=EDGE_CURVE('',#789,#876,#540,.T.); +#1125=EDGE_CURVE('',#877,#876,#372,.T.); +#1126=EDGE_CURVE('',#877,#772,#541,.T.); +#1127=EDGE_CURVE('',#878,#877,#74,.T.); +#1128=EDGE_CURVE('',#868,#878,#75,.T.); +#1129=EDGE_CURVE('',#879,#878,#542,.T.); +#1130=EDGE_CURVE('',#879,#873,#76,.T.); +#1131=EDGE_CURVE('',#773,#880,#543,.T.); +#1132=EDGE_CURVE('',#879,#880,#77,.T.); +#1133=EDGE_CURVE('',#784,#771,#544,.T.); +#1134=EDGE_CURVE('',#881,#880,#373,.T.); +#1135=EDGE_CURVE('',#881,#788,#545,.T.); +#1136=EDGE_CURVE('',#784,#788,#546,.T.); +#1137=EDGE_CURVE('',#882,#869,#78,.T.); +#1138=EDGE_CURVE('',#876,#882,#79,.T.); +#1139=EDGE_CURVE('',#876,#883,#547,.T.); +#1140=EDGE_CURVE('',#882,#884,#548,.T.); +#1141=EDGE_CURVE('',#881,#884,#80,.T.); +#1142=EDGE_CURVE('',#883,#881,#549,.T.); +#1143=EDGE_CURVE('',#880,#885,#550,.T.); +#1144=EDGE_CURVE('',#885,#877,#551,.T.); +#1145=EDGE_CURVE('',#870,#884,#81,.T.); +#1146=ORIENTED_EDGE('',*,*,#886,.F.); +#1147=ORIENTED_EDGE('',*,*,#887,.F.); +#1148=ORIENTED_EDGE('',*,*,#888,.T.); +#1149=ORIENTED_EDGE('',*,*,#889,.F.); +#1150=ORIENTED_EDGE('',*,*,#886,.T.); +#1151=ORIENTED_EDGE('',*,*,#890,.F.); +#1152=ORIENTED_EDGE('',*,*,#891,.F.); +#1153=ORIENTED_EDGE('',*,*,#892,.T.); +#1154=ORIENTED_EDGE('',*,*,#888,.F.); +#1155=ORIENTED_EDGE('',*,*,#893,.T.); +#1156=ORIENTED_EDGE('',*,*,#894,.F.); +#1157=ORIENTED_EDGE('',*,*,#895,.F.); +#1158=ORIENTED_EDGE('',*,*,#896,.F.); +#1159=ORIENTED_EDGE('',*,*,#897,.F.); +#1160=ORIENTED_EDGE('',*,*,#898,.T.); +#1161=ORIENTED_EDGE('',*,*,#899,.F.); +#1162=ORIENTED_EDGE('',*,*,#898,.F.); +#1163=ORIENTED_EDGE('',*,*,#900,.T.); +#1164=ORIENTED_EDGE('',*,*,#901,.T.); +#1165=ORIENTED_EDGE('',*,*,#902,.F.); +#1166=ORIENTED_EDGE('',*,*,#901,.F.); +#1167=ORIENTED_EDGE('',*,*,#903,.F.); +#1168=ORIENTED_EDGE('',*,*,#904,.T.); +#1169=ORIENTED_EDGE('',*,*,#905,.F.); +#1170=ORIENTED_EDGE('',*,*,#906,.F.); +#1171=ORIENTED_EDGE('',*,*,#907,.T.); +#1172=ORIENTED_EDGE('',*,*,#908,.T.); +#1173=ORIENTED_EDGE('',*,*,#909,.T.); +#1174=ORIENTED_EDGE('',*,*,#908,.F.); +#1175=ORIENTED_EDGE('',*,*,#910,.T.); +#1176=ORIENTED_EDGE('',*,*,#911,.F.); +#1177=ORIENTED_EDGE('',*,*,#912,.T.); +#1178=ORIENTED_EDGE('',*,*,#906,.T.); +#1179=ORIENTED_EDGE('',*,*,#913,.F.); +#1180=ORIENTED_EDGE('',*,*,#914,.F.); +#1181=ORIENTED_EDGE('',*,*,#915,.F.); +#1182=ORIENTED_EDGE('',*,*,#916,.F.); +#1183=ORIENTED_EDGE('',*,*,#917,.F.); +#1184=ORIENTED_EDGE('',*,*,#918,.T.); +#1185=ORIENTED_EDGE('',*,*,#919,.F.); +#1186=ORIENTED_EDGE('',*,*,#918,.F.); +#1187=ORIENTED_EDGE('',*,*,#920,.F.); +#1188=ORIENTED_EDGE('',*,*,#921,.F.); +#1189=ORIENTED_EDGE('',*,*,#922,.T.); +#1190=ORIENTED_EDGE('',*,*,#923,.F.); +#1191=ORIENTED_EDGE('',*,*,#924,.F.); +#1192=ORIENTED_EDGE('',*,*,#925,.T.); +#1193=ORIENTED_EDGE('',*,*,#926,.F.); +#1194=ORIENTED_EDGE('',*,*,#925,.F.); +#1195=ORIENTED_EDGE('',*,*,#927,.F.); +#1196=ORIENTED_EDGE('',*,*,#928,.T.); +#1197=ORIENTED_EDGE('',*,*,#929,.F.); +#1198=ORIENTED_EDGE('',*,*,#928,.F.); +#1199=ORIENTED_EDGE('',*,*,#930,.F.); +#1200=ORIENTED_EDGE('',*,*,#931,.T.); +#1201=ORIENTED_EDGE('',*,*,#932,.F.); +#1202=ORIENTED_EDGE('',*,*,#931,.F.); +#1203=ORIENTED_EDGE('',*,*,#933,.T.); +#1204=ORIENTED_EDGE('',*,*,#934,.F.); +#1205=ORIENTED_EDGE('',*,*,#935,.T.); +#1206=ORIENTED_EDGE('',*,*,#936,.F.); +#1207=ORIENTED_EDGE('',*,*,#937,.F.); +#1208=ORIENTED_EDGE('',*,*,#938,.T.); +#1209=ORIENTED_EDGE('',*,*,#939,.F.); +#1210=ORIENTED_EDGE('',*,*,#938,.F.); +#1211=ORIENTED_EDGE('',*,*,#940,.F.); +#1212=ORIENTED_EDGE('',*,*,#941,.T.); +#1213=ORIENTED_EDGE('',*,*,#942,.F.); +#1214=ORIENTED_EDGE('',*,*,#936,.T.); +#1215=ORIENTED_EDGE('',*,*,#943,.F.); +#1216=ORIENTED_EDGE('',*,*,#944,.F.); +#1217=ORIENTED_EDGE('',*,*,#945,.F.); +#1218=ORIENTED_EDGE('',*,*,#941,.F.); +#1219=ORIENTED_EDGE('',*,*,#946,.T.); +#1220=ORIENTED_EDGE('',*,*,#947,.F.); +#1221=ORIENTED_EDGE('',*,*,#948,.T.); +#1222=ORIENTED_EDGE('',*,*,#949,.F.); +#1223=ORIENTED_EDGE('',*,*,#950,.T.); +#1224=ORIENTED_EDGE('',*,*,#951,.T.); +#1225=ORIENTED_EDGE('',*,*,#952,.F.); +#1226=ORIENTED_EDGE('',*,*,#949,.T.); +#1227=ORIENTED_EDGE('',*,*,#953,.F.); +#1228=ORIENTED_EDGE('',*,*,#954,.F.); +#1229=ORIENTED_EDGE('',*,*,#955,.F.); +#1230=ORIENTED_EDGE('',*,*,#951,.F.); +#1231=ORIENTED_EDGE('',*,*,#956,.T.); +#1232=ORIENTED_EDGE('',*,*,#957,.F.); +#1233=ORIENTED_EDGE('',*,*,#958,.F.); +#1234=ORIENTED_EDGE('',*,*,#959,.F.); +#1235=ORIENTED_EDGE('',*,*,#960,.F.); +#1236=ORIENTED_EDGE('',*,*,#961,.T.); +#1237=ORIENTED_EDGE('',*,*,#962,.F.); +#1238=ORIENTED_EDGE('',*,*,#961,.F.); +#1239=ORIENTED_EDGE('',*,*,#963,.F.); +#1240=ORIENTED_EDGE('',*,*,#964,.F.); +#1241=ORIENTED_EDGE('',*,*,#965,.T.); +#1242=ORIENTED_EDGE('',*,*,#966,.F.); +#1243=ORIENTED_EDGE('',*,*,#926,.T.); +#1244=ORIENTED_EDGE('',*,*,#967,.F.); +#1245=ORIENTED_EDGE('',*,*,#913,.T.); +#1246=ORIENTED_EDGE('',*,*,#968,.T.); +#1247=ORIENTED_EDGE('',*,*,#966,.T.); +#1248=ORIENTED_EDGE('',*,*,#909,.F.); +#1249=ORIENTED_EDGE('',*,*,#912,.F.); +#1250=ORIENTED_EDGE('',*,*,#969,.F.); +#1251=ORIENTED_EDGE('',*,*,#907,.F.); +#1252=ORIENTED_EDGE('',*,*,#970,.T.); +#1253=ORIENTED_EDGE('',*,*,#971,.T.); +#1254=ORIENTED_EDGE('',*,*,#972,.F.); +#1255=ORIENTED_EDGE('',*,*,#910,.F.); +#1256=ORIENTED_EDGE('',*,*,#972,.T.); +#1257=ORIENTED_EDGE('',*,*,#973,.T.); +#1258=ORIENTED_EDGE('',*,*,#969,.T.); +#1259=ORIENTED_EDGE('',*,*,#911,.T.); +#1260=ORIENTED_EDGE('',*,*,#970,.F.); +#1261=ORIENTED_EDGE('',*,*,#915,.T.); +#1262=ORIENTED_EDGE('',*,*,#974,.F.); +#1263=ORIENTED_EDGE('',*,*,#924,.T.); +#1264=ORIENTED_EDGE('',*,*,#967,.T.); +#1265=ORIENTED_EDGE('',*,*,#929,.T.); +#1266=ORIENTED_EDGE('',*,*,#975,.T.); +#1267=ORIENTED_EDGE('',*,*,#976,.F.); +#1268=ORIENTED_EDGE('',*,*,#977,.T.); +#1269=ORIENTED_EDGE('',*,*,#978,.F.); +#1270=ORIENTED_EDGE('',*,*,#974,.T.); +#1271=ORIENTED_EDGE('',*,*,#979,.F.); +#1272=ORIENTED_EDGE('',*,*,#980,.T.); +#1273=ORIENTED_EDGE('',*,*,#981,.F.); +#1274=ORIENTED_EDGE('',*,*,#982,.T.); +#1275=ORIENTED_EDGE('',*,*,#927,.T.); +#1276=ORIENTED_EDGE('',*,*,#930,.T.); +#1277=ORIENTED_EDGE('',*,*,#982,.F.); +#1278=ORIENTED_EDGE('',*,*,#983,.F.); +#1279=ORIENTED_EDGE('',*,*,#984,.F.); +#1280=ORIENTED_EDGE('',*,*,#985,.F.); +#1281=ORIENTED_EDGE('',*,*,#932,.T.); +#1282=ORIENTED_EDGE('',*,*,#986,.F.); +#1283=ORIENTED_EDGE('',*,*,#987,.F.); +#1284=ORIENTED_EDGE('',*,*,#988,.F.); +#1285=ORIENTED_EDGE('',*,*,#975,.F.); +#1286=ORIENTED_EDGE('',*,*,#989,.F.); +#1287=ORIENTED_EDGE('',*,*,#988,.T.); +#1288=ORIENTED_EDGE('',*,*,#990,.F.); +#1289=ORIENTED_EDGE('',*,*,#983,.T.); +#1290=ORIENTED_EDGE('',*,*,#991,.F.); +#1291=ORIENTED_EDGE('',*,*,#989,.T.); +#1292=ORIENTED_EDGE('',*,*,#991,.T.); +#1293=ORIENTED_EDGE('',*,*,#981,.T.); +#1294=ORIENTED_EDGE('',*,*,#992,.T.); +#1295=ORIENTED_EDGE('',*,*,#993,.T.); +#1296=ORIENTED_EDGE('',*,*,#976,.T.); +#1297=ORIENTED_EDGE('',*,*,#992,.F.); +#1298=ORIENTED_EDGE('',*,*,#994,.T.); +#1299=ORIENTED_EDGE('',*,*,#995,.F.); +#1300=ORIENTED_EDGE('',*,*,#996,.T.); +#1301=ORIENTED_EDGE('',*,*,#993,.F.); +#1302=ORIENTED_EDGE('',*,*,#977,.F.); +#1303=ORIENTED_EDGE('',*,*,#996,.F.); +#1304=ORIENTED_EDGE('',*,*,#997,.F.); +#1305=ORIENTED_EDGE('',*,*,#998,.F.); +#1306=ORIENTED_EDGE('',*,*,#917,.T.); +#1307=ORIENTED_EDGE('',*,*,#980,.F.); +#1308=ORIENTED_EDGE('',*,*,#919,.T.); +#1309=ORIENTED_EDGE('',*,*,#999,.F.); +#1310=ORIENTED_EDGE('',*,*,#1000,.F.); +#1311=ORIENTED_EDGE('',*,*,#994,.F.); +#1312=ORIENTED_EDGE('',*,*,#1001,.F.); +#1313=ORIENTED_EDGE('',*,*,#997,.T.); +#1314=ORIENTED_EDGE('',*,*,#995,.T.); +#1315=ORIENTED_EDGE('',*,*,#1000,.T.); +#1316=ORIENTED_EDGE('',*,*,#1002,.F.); +#1317=ORIENTED_EDGE('',*,*,#1003,.T.); +#1318=ORIENTED_EDGE('',*,*,#1004,.T.); +#1319=ORIENTED_EDGE('',*,*,#1005,.T.); +#1320=ORIENTED_EDGE('',*,*,#1006,.T.); +#1321=ORIENTED_EDGE('',*,*,#1007,.T.); +#1322=ORIENTED_EDGE('',*,*,#1001,.T.); +#1323=ORIENTED_EDGE('',*,*,#1002,.T.); +#1324=ORIENTED_EDGE('',*,*,#1008,.T.); +#1325=ORIENTED_EDGE('',*,*,#1009,.T.); +#1326=ORIENTED_EDGE('',*,*,#1010,.F.); +#1327=ORIENTED_EDGE('',*,*,#1011,.F.); +#1328=ORIENTED_EDGE('',*,*,#1012,.T.); +#1329=ORIENTED_EDGE('',*,*,#1013,.F.); +#1330=ORIENTED_EDGE('',*,*,#934,.T.); +#1331=ORIENTED_EDGE('',*,*,#1014,.F.); +#1332=ORIENTED_EDGE('',*,*,#1003,.F.); +#1333=ORIENTED_EDGE('',*,*,#1015,.F.); +#1334=ORIENTED_EDGE('',*,*,#921,.T.); +#1335=ORIENTED_EDGE('',*,*,#1016,.F.); +#1336=ORIENTED_EDGE('',*,*,#964,.T.); +#1337=ORIENTED_EDGE('',*,*,#1017,.F.); +#1338=ORIENTED_EDGE('',*,*,#1018,.F.); +#1339=ORIENTED_EDGE('',*,*,#1019,.F.); +#1340=ORIENTED_EDGE('',*,*,#947,.T.); +#1341=ORIENTED_EDGE('',*,*,#1020,.T.); +#1342=ORIENTED_EDGE('',*,*,#1021,.F.); +#1343=ORIENTED_EDGE('',*,*,#1009,.F.); +#1344=ORIENTED_EDGE('',*,*,#1022,.F.); +#1345=ORIENTED_EDGE('',*,*,#1020,.F.); +#1346=ORIENTED_EDGE('',*,*,#1023,.F.); +#1347=ORIENTED_EDGE('',*,*,#1024,.F.); +#1348=ORIENTED_EDGE('',*,*,#1025,.F.); +#1349=ORIENTED_EDGE('',*,*,#1026,.T.); +#1350=ORIENTED_EDGE('',*,*,#1027,.T.); +#1351=ORIENTED_EDGE('',*,*,#1028,.T.); +#1352=ORIENTED_EDGE('',*,*,#1029,.T.); +#1353=ORIENTED_EDGE('',*,*,#904,.F.); +#1354=ORIENTED_EDGE('',*,*,#1030,.T.); +#1355=ORIENTED_EDGE('',*,*,#1031,.F.); +#1356=ORIENTED_EDGE('',*,*,#1032,.F.); +#1357=ORIENTED_EDGE('',*,*,#1033,.F.); +#1358=ORIENTED_EDGE('',*,*,#1034,.T.); +#1359=ORIENTED_EDGE('',*,*,#1035,.F.); +#1360=ORIENTED_EDGE('',*,*,#1036,.T.); +#1361=ORIENTED_EDGE('',*,*,#1025,.T.); +#1362=ORIENTED_EDGE('',*,*,#1037,.T.); +#1363=ORIENTED_EDGE('',*,*,#1010,.T.); +#1364=ORIENTED_EDGE('',*,*,#1021,.T.); +#1365=ORIENTED_EDGE('',*,*,#1011,.T.); +#1366=ORIENTED_EDGE('',*,*,#1037,.F.); +#1367=ORIENTED_EDGE('',*,*,#1024,.T.); +#1368=ORIENTED_EDGE('',*,*,#1038,.T.); +#1369=ORIENTED_EDGE('',*,*,#1039,.F.); +#1370=ORIENTED_EDGE('',*,*,#1012,.F.); +#1371=ORIENTED_EDGE('',*,*,#1038,.F.); +#1372=ORIENTED_EDGE('',*,*,#1023,.T.); +#1373=ORIENTED_EDGE('',*,*,#1022,.T.); +#1374=ORIENTED_EDGE('',*,*,#1039,.T.); +#1375=ORIENTED_EDGE('',*,*,#1040,.T.); +#1376=ORIENTED_EDGE('',*,*,#1041,.T.); +#1377=ORIENTED_EDGE('',*,*,#1040,.F.); +#1378=ORIENTED_EDGE('',*,*,#1041,.F.); +#1379=ORIENTED_EDGE('',*,*,#1042,.F.); +#1380=ORIENTED_EDGE('',*,*,#1042,.T.); +#1381=ORIENTED_EDGE('',*,*,#1043,.T.); +#1382=ORIENTED_EDGE('',*,*,#1044,.T.); +#1383=ORIENTED_EDGE('',*,*,#1045,.F.); +#1384=ORIENTED_EDGE('',*,*,#1046,.T.); +#1385=ORIENTED_EDGE('',*,*,#1047,.F.); +#1386=ORIENTED_EDGE('',*,*,#1048,.T.); +#1387=ORIENTED_EDGE('',*,*,#1049,.F.); +#1388=ORIENTED_EDGE('',*,*,#1050,.T.); +#1389=ORIENTED_EDGE('',*,*,#1051,.F.); +#1390=ORIENTED_EDGE('',*,*,#1052,.T.); +#1391=ORIENTED_EDGE('',*,*,#1043,.F.); +#1392=ORIENTED_EDGE('',*,*,#1053,.T.); +#1393=ORIENTED_EDGE('',*,*,#1054,.T.); +#1394=ORIENTED_EDGE('',*,*,#1048,.F.); +#1395=ORIENTED_EDGE('',*,*,#1055,.T.); +#1396=ORIENTED_EDGE('',*,*,#1056,.T.); +#1397=ORIENTED_EDGE('',*,*,#1053,.F.); +#1398=ORIENTED_EDGE('',*,*,#1049,.T.); +#1399=ORIENTED_EDGE('',*,*,#1054,.F.); +#1400=ORIENTED_EDGE('',*,*,#1056,.F.); +#1401=ORIENTED_EDGE('',*,*,#1055,.F.); +#1402=ORIENTED_EDGE('',*,*,#1047,.T.); +#1403=ORIENTED_EDGE('',*,*,#1057,.T.); +#1404=ORIENTED_EDGE('',*,*,#1050,.F.); +#1405=ORIENTED_EDGE('',*,*,#1057,.F.); +#1406=ORIENTED_EDGE('',*,*,#1046,.F.); +#1407=ORIENTED_EDGE('',*,*,#1058,.F.); +#1408=ORIENTED_EDGE('',*,*,#1051,.T.); +#1409=ORIENTED_EDGE('',*,*,#1058,.T.); +#1410=ORIENTED_EDGE('',*,*,#1045,.T.); +#1411=ORIENTED_EDGE('',*,*,#1059,.T.); +#1412=ORIENTED_EDGE('',*,*,#1060,.F.); +#1413=ORIENTED_EDGE('',*,*,#1061,.T.); +#1414=ORIENTED_EDGE('',*,*,#1052,.F.); +#1415=ORIENTED_EDGE('',*,*,#1061,.F.); +#1416=ORIENTED_EDGE('',*,*,#1062,.T.); +#1417=ORIENTED_EDGE('',*,*,#1059,.F.); +#1418=ORIENTED_EDGE('',*,*,#1044,.F.); +#1419=ORIENTED_EDGE('',*,*,#1060,.T.); +#1420=ORIENTED_EDGE('',*,*,#1062,.F.); +#1421=ORIENTED_EDGE('',*,*,#1026,.F.); +#1422=ORIENTED_EDGE('',*,*,#1063,.F.); +#1423=ORIENTED_EDGE('',*,*,#1064,.F.); +#1424=ORIENTED_EDGE('',*,*,#1065,.T.); +#1425=ORIENTED_EDGE('',*,*,#1065,.F.); +#1426=ORIENTED_EDGE('',*,*,#1066,.F.); +#1427=ORIENTED_EDGE('',*,*,#1067,.F.); +#1428=ORIENTED_EDGE('',*,*,#1068,.F.); +#1429=ORIENTED_EDGE('',*,*,#1069,.F.); +#1430=ORIENTED_EDGE('',*,*,#1027,.F.); +#1431=ORIENTED_EDGE('',*,*,#1028,.F.); +#1432=ORIENTED_EDGE('',*,*,#1069,.T.); +#1433=ORIENTED_EDGE('',*,*,#1070,.T.); +#1434=ORIENTED_EDGE('',*,*,#1071,.T.); +#1435=ORIENTED_EDGE('',*,*,#1029,.F.); +#1436=ORIENTED_EDGE('',*,*,#1071,.F.); +#1437=ORIENTED_EDGE('',*,*,#1072,.F.); +#1438=ORIENTED_EDGE('',*,*,#1073,.F.); +#1439=ORIENTED_EDGE('',*,*,#905,.T.); +#1440=ORIENTED_EDGE('',*,*,#1030,.F.); +#1441=ORIENTED_EDGE('',*,*,#903,.T.); +#1442=ORIENTED_EDGE('',*,*,#1074,.F.); +#1443=ORIENTED_EDGE('',*,*,#1075,.T.); +#1444=ORIENTED_EDGE('',*,*,#1076,.T.); +#1445=ORIENTED_EDGE('',*,*,#1077,.T.); +#1446=ORIENTED_EDGE('',*,*,#1031,.T.); +#1447=ORIENTED_EDGE('',*,*,#1075,.F.); +#1448=ORIENTED_EDGE('',*,*,#1078,.T.); +#1449=ORIENTED_EDGE('',*,*,#1076,.F.); +#1450=ORIENTED_EDGE('',*,*,#1074,.T.); +#1451=ORIENTED_EDGE('',*,*,#900,.F.); +#1452=ORIENTED_EDGE('',*,*,#1079,.T.); +#1453=ORIENTED_EDGE('',*,*,#892,.F.); +#1454=ORIENTED_EDGE('',*,*,#1080,.T.); +#1455=ORIENTED_EDGE('',*,*,#1081,.T.); +#1456=ORIENTED_EDGE('',*,*,#1077,.F.); +#1457=ORIENTED_EDGE('',*,*,#1078,.F.); +#1458=ORIENTED_EDGE('',*,*,#1082,.F.); +#1459=ORIENTED_EDGE('',*,*,#1032,.T.); +#1460=ORIENTED_EDGE('',*,*,#1081,.F.); +#1461=ORIENTED_EDGE('',*,*,#1083,.F.); +#1462=ORIENTED_EDGE('',*,*,#1033,.T.); +#1463=ORIENTED_EDGE('',*,*,#1082,.T.); +#1464=ORIENTED_EDGE('',*,*,#1034,.F.); +#1465=ORIENTED_EDGE('',*,*,#1083,.T.); +#1466=ORIENTED_EDGE('',*,*,#1080,.F.); +#1467=ORIENTED_EDGE('',*,*,#1084,.T.); +#1468=ORIENTED_EDGE('',*,*,#1036,.F.); +#1469=ORIENTED_EDGE('',*,*,#1085,.T.); +#1470=ORIENTED_EDGE('',*,*,#1086,.F.); +#1471=ORIENTED_EDGE('',*,*,#1087,.F.); +#1472=ORIENTED_EDGE('',*,*,#1063,.T.); +#1473=ORIENTED_EDGE('',*,*,#1066,.T.); +#1474=ORIENTED_EDGE('',*,*,#1064,.T.); +#1475=ORIENTED_EDGE('',*,*,#1087,.T.); +#1476=ORIENTED_EDGE('',*,*,#1088,.F.); +#1477=ORIENTED_EDGE('',*,*,#1089,.F.); +#1478=ORIENTED_EDGE('',*,*,#1090,.T.); +#1479=ORIENTED_EDGE('',*,*,#1091,.F.); +#1480=ORIENTED_EDGE('',*,*,#1092,.F.); +#1481=ORIENTED_EDGE('',*,*,#1067,.T.); +#1482=ORIENTED_EDGE('',*,*,#1090,.F.); +#1483=ORIENTED_EDGE('',*,*,#1091,.T.); +#1484=ORIENTED_EDGE('',*,*,#1089,.T.); +#1485=ORIENTED_EDGE('',*,*,#1088,.T.); +#1486=ORIENTED_EDGE('',*,*,#1093,.T.); +#1487=ORIENTED_EDGE('',*,*,#1094,.T.); +#1488=ORIENTED_EDGE('',*,*,#1095,.T.); +#1489=ORIENTED_EDGE('',*,*,#1068,.T.); +#1490=ORIENTED_EDGE('',*,*,#1092,.T.); +#1491=ORIENTED_EDGE('',*,*,#1095,.F.); +#1492=ORIENTED_EDGE('',*,*,#1094,.F.); +#1493=ORIENTED_EDGE('',*,*,#1072,.T.); +#1494=ORIENTED_EDGE('',*,*,#1070,.F.); +#1495=ORIENTED_EDGE('',*,*,#1096,.T.); +#1496=ORIENTED_EDGE('',*,*,#902,.T.); +#1497=ORIENTED_EDGE('',*,*,#1073,.T.); +#1498=ORIENTED_EDGE('',*,*,#1093,.F.); +#1499=ORIENTED_EDGE('',*,*,#1086,.T.); +#1500=ORIENTED_EDGE('',*,*,#890,.T.); +#1501=ORIENTED_EDGE('',*,*,#1097,.F.); +#1502=ORIENTED_EDGE('',*,*,#899,.T.); +#1503=ORIENTED_EDGE('',*,*,#1096,.F.); +#1504=ORIENTED_EDGE('',*,*,#889,.T.); +#1505=ORIENTED_EDGE('',*,*,#1098,.T.); +#1506=ORIENTED_EDGE('',*,*,#1097,.T.); +#1507=ORIENTED_EDGE('',*,*,#895,.T.); +#1508=ORIENTED_EDGE('',*,*,#1099,.F.); +#1509=ORIENTED_EDGE('',*,*,#893,.F.); +#1510=ORIENTED_EDGE('',*,*,#1100,.T.); +#1511=ORIENTED_EDGE('',*,*,#1101,.T.); +#1512=ORIENTED_EDGE('',*,*,#1102,.F.); +#1513=ORIENTED_EDGE('',*,*,#1102,.T.); +#1514=ORIENTED_EDGE('',*,*,#1103,.T.); +#1515=ORIENTED_EDGE('',*,*,#1099,.T.); +#1516=ORIENTED_EDGE('',*,*,#894,.T.); +#1517=ORIENTED_EDGE('',*,*,#1100,.F.); +#1518=ORIENTED_EDGE('',*,*,#887,.T.); +#1519=ORIENTED_EDGE('',*,*,#1079,.F.); +#1520=ORIENTED_EDGE('',*,*,#897,.T.); +#1521=ORIENTED_EDGE('',*,*,#896,.T.); +#1522=ORIENTED_EDGE('',*,*,#1098,.F.); +#1523=ORIENTED_EDGE('',*,*,#1103,.F.); +#1524=ORIENTED_EDGE('',*,*,#1101,.F.); +#1525=ORIENTED_EDGE('',*,*,#891,.T.); +#1526=ORIENTED_EDGE('',*,*,#1085,.F.); +#1527=ORIENTED_EDGE('',*,*,#1035,.T.); +#1528=ORIENTED_EDGE('',*,*,#1084,.F.); +#1529=ORIENTED_EDGE('',*,*,#1013,.T.); +#1530=ORIENTED_EDGE('',*,*,#920,.T.); +#1531=ORIENTED_EDGE('',*,*,#998,.T.); +#1532=ORIENTED_EDGE('',*,*,#1007,.F.); +#1533=ORIENTED_EDGE('',*,*,#986,.T.); +#1534=ORIENTED_EDGE('',*,*,#935,.F.); +#1535=ORIENTED_EDGE('',*,*,#923,.T.); +#1536=ORIENTED_EDGE('',*,*,#968,.F.); +#1537=ORIENTED_EDGE('',*,*,#973,.F.); +#1538=ORIENTED_EDGE('',*,*,#971,.F.); +#1539=ORIENTED_EDGE('',*,*,#1014,.T.); +#1540=ORIENTED_EDGE('',*,*,#933,.F.); +#1541=ORIENTED_EDGE('',*,*,#985,.T.); +#1542=ORIENTED_EDGE('',*,*,#1004,.F.); +#1543=ORIENTED_EDGE('',*,*,#914,.T.); +#1544=ORIENTED_EDGE('',*,*,#978,.T.); +#1545=ORIENTED_EDGE('',*,*,#916,.T.); +#1546=ORIENTED_EDGE('',*,*,#979,.T.); +#1547=ORIENTED_EDGE('',*,*,#1015,.T.); +#1548=ORIENTED_EDGE('',*,*,#1008,.F.); +#1549=ORIENTED_EDGE('',*,*,#999,.T.); +#1550=ORIENTED_EDGE('',*,*,#922,.F.); +#1551=ORIENTED_EDGE('',*,*,#1016,.T.); +#1552=ORIENTED_EDGE('',*,*,#946,.F.); +#1553=ORIENTED_EDGE('',*,*,#1104,.T.); +#1554=ORIENTED_EDGE('',*,*,#1105,.F.); +#1555=ORIENTED_EDGE('',*,*,#1106,.T.); +#1556=ORIENTED_EDGE('',*,*,#965,.F.); +#1557=ORIENTED_EDGE('',*,*,#1017,.T.); +#1558=ORIENTED_EDGE('',*,*,#963,.T.); +#1559=ORIENTED_EDGE('',*,*,#1107,.T.); +#1560=ORIENTED_EDGE('',*,*,#1108,.F.); +#1561=ORIENTED_EDGE('',*,*,#1018,.T.); +#1562=ORIENTED_EDGE('',*,*,#1108,.T.); +#1563=ORIENTED_EDGE('',*,*,#1109,.T.); +#1564=ORIENTED_EDGE('',*,*,#1110,.T.); +#1565=ORIENTED_EDGE('',*,*,#1105,.T.); +#1566=ORIENTED_EDGE('',*,*,#1111,.T.); +#1567=ORIENTED_EDGE('',*,*,#1112,.T.); +#1568=ORIENTED_EDGE('',*,*,#1113,.T.); +#1569=ORIENTED_EDGE('',*,*,#1019,.T.); +#1570=ORIENTED_EDGE('',*,*,#1113,.F.); +#1571=ORIENTED_EDGE('',*,*,#1114,.T.); +#1572=ORIENTED_EDGE('',*,*,#948,.F.); +#1573=ORIENTED_EDGE('',*,*,#944,.T.); +#1574=ORIENTED_EDGE('',*,*,#1115,.F.); +#1575=ORIENTED_EDGE('',*,*,#1116,.F.); +#1576=ORIENTED_EDGE('',*,*,#1117,.F.); +#1577=ORIENTED_EDGE('',*,*,#950,.F.); +#1578=ORIENTED_EDGE('',*,*,#1118,.T.); +#1579=ORIENTED_EDGE('',*,*,#1117,.T.); +#1580=ORIENTED_EDGE('',*,*,#1119,.F.); +#1581=ORIENTED_EDGE('',*,*,#956,.F.); +#1582=ORIENTED_EDGE('',*,*,#1115,.T.); +#1583=ORIENTED_EDGE('',*,*,#1120,.T.); +#1584=ORIENTED_EDGE('',*,*,#952,.T.); +#1585=ORIENTED_EDGE('',*,*,#958,.T.); +#1586=ORIENTED_EDGE('',*,*,#1121,.F.); +#1587=ORIENTED_EDGE('',*,*,#1121,.T.); +#1588=ORIENTED_EDGE('',*,*,#957,.T.); +#1589=ORIENTED_EDGE('',*,*,#1119,.T.); +#1590=ORIENTED_EDGE('',*,*,#1116,.T.); +#1591=ORIENTED_EDGE('',*,*,#1118,.F.); +#1592=ORIENTED_EDGE('',*,*,#955,.T.); +#1593=ORIENTED_EDGE('',*,*,#1122,.F.); +#1594=ORIENTED_EDGE('',*,*,#945,.T.); +#1595=ORIENTED_EDGE('',*,*,#1122,.T.); +#1596=ORIENTED_EDGE('',*,*,#1123,.F.); +#1597=ORIENTED_EDGE('',*,*,#1124,.T.); +#1598=ORIENTED_EDGE('',*,*,#1125,.F.); +#1599=ORIENTED_EDGE('',*,*,#1126,.T.); +#1600=ORIENTED_EDGE('',*,*,#937,.T.); +#1601=ORIENTED_EDGE('',*,*,#1126,.F.); +#1602=ORIENTED_EDGE('',*,*,#1127,.F.); +#1603=ORIENTED_EDGE('',*,*,#1128,.F.); +#1604=ORIENTED_EDGE('',*,*,#1104,.F.); +#1605=ORIENTED_EDGE('',*,*,#940,.T.); +#1606=ORIENTED_EDGE('',*,*,#1111,.F.); +#1607=ORIENTED_EDGE('',*,*,#1128,.T.); +#1608=ORIENTED_EDGE('',*,*,#1129,.F.); +#1609=ORIENTED_EDGE('',*,*,#1130,.T.); +#1610=ORIENTED_EDGE('',*,*,#1112,.F.); +#1611=ORIENTED_EDGE('',*,*,#1131,.F.); +#1612=ORIENTED_EDGE('',*,*,#942,.T.); +#1613=ORIENTED_EDGE('',*,*,#1114,.F.); +#1614=ORIENTED_EDGE('',*,*,#1130,.F.); +#1615=ORIENTED_EDGE('',*,*,#1132,.T.); +#1616=ORIENTED_EDGE('',*,*,#1133,.T.); +#1617=ORIENTED_EDGE('',*,*,#939,.T.); +#1618=ORIENTED_EDGE('',*,*,#1131,.T.); +#1619=ORIENTED_EDGE('',*,*,#1134,.F.); +#1620=ORIENTED_EDGE('',*,*,#1135,.T.); +#1621=ORIENTED_EDGE('',*,*,#1136,.F.); +#1622=ORIENTED_EDGE('',*,*,#1120,.F.); +#1623=ORIENTED_EDGE('',*,*,#943,.T.); +#1624=ORIENTED_EDGE('',*,*,#1133,.F.); +#1625=ORIENTED_EDGE('',*,*,#953,.T.); +#1626=ORIENTED_EDGE('',*,*,#954,.T.); +#1627=ORIENTED_EDGE('',*,*,#1136,.T.); +#1628=ORIENTED_EDGE('',*,*,#959,.T.); +#1629=ORIENTED_EDGE('',*,*,#1123,.T.); +#1630=ORIENTED_EDGE('',*,*,#962,.T.); +#1631=ORIENTED_EDGE('',*,*,#1106,.F.); +#1632=ORIENTED_EDGE('',*,*,#1137,.F.); +#1633=ORIENTED_EDGE('',*,*,#1138,.F.); +#1634=ORIENTED_EDGE('',*,*,#1124,.F.); +#1635=ORIENTED_EDGE('',*,*,#1139,.F.); +#1636=ORIENTED_EDGE('',*,*,#1138,.T.); +#1637=ORIENTED_EDGE('',*,*,#1140,.T.); +#1638=ORIENTED_EDGE('',*,*,#1141,.F.); +#1639=ORIENTED_EDGE('',*,*,#1142,.F.); +#1640=ORIENTED_EDGE('',*,*,#1139,.T.); +#1641=ORIENTED_EDGE('',*,*,#1142,.T.); +#1642=ORIENTED_EDGE('',*,*,#1134,.T.); +#1643=ORIENTED_EDGE('',*,*,#1143,.T.); +#1644=ORIENTED_EDGE('',*,*,#1144,.T.); +#1645=ORIENTED_EDGE('',*,*,#1125,.T.); +#1646=ORIENTED_EDGE('',*,*,#1143,.F.); +#1647=ORIENTED_EDGE('',*,*,#1132,.F.); +#1648=ORIENTED_EDGE('',*,*,#1129,.T.); +#1649=ORIENTED_EDGE('',*,*,#1127,.T.); +#1650=ORIENTED_EDGE('',*,*,#1144,.F.); +#1651=ORIENTED_EDGE('',*,*,#960,.T.); +#1652=ORIENTED_EDGE('',*,*,#1135,.F.); +#1653=ORIENTED_EDGE('',*,*,#1141,.T.); +#1654=ORIENTED_EDGE('',*,*,#1145,.F.); +#1655=ORIENTED_EDGE('',*,*,#1107,.F.); +#1656=ORIENTED_EDGE('',*,*,#1109,.F.); +#1657=ORIENTED_EDGE('',*,*,#1145,.T.); +#1658=ORIENTED_EDGE('',*,*,#1140,.F.); +#1659=ORIENTED_EDGE('',*,*,#1137,.T.); +#1660=ORIENTED_EDGE('',*,*,#1110,.F.); +#1661=ORIENTED_EDGE('',*,*,#1005,.F.); +#1662=ORIENTED_EDGE('',*,*,#984,.T.); +#1663=ORIENTED_EDGE('',*,*,#990,.T.); +#1664=ORIENTED_EDGE('',*,*,#987,.T.); +#1665=ORIENTED_EDGE('',*,*,#1006,.F.); +#1666=PLANE('',#1861); +#1667=PLANE('',#1864); +#1668=PLANE('',#1865); +#1669=PLANE('',#1866); +#1670=PLANE('',#1869); +#1671=PLANE('',#1870); +#1672=PLANE('',#1873); +#1673=PLANE('',#1874); +#1674=PLANE('',#1875); +#1675=PLANE('',#1878); +#1676=PLANE('',#1881); +#1677=PLANE('',#1882); +#1678=PLANE('',#1885); +#1679=PLANE('',#1886); +#1680=PLANE('',#1889); +#1681=PLANE('',#1890); +#1682=PLANE('',#1891); +#1683=PLANE('',#1894); +#1684=PLANE('',#1897); +#1685=PLANE('',#1898); +#1686=PLANE('',#1899); +#1687=PLANE('',#1902); +#1688=PLANE('',#1903); +#1689=PLANE('',#1906); +#1690=PLANE('',#1908); +#1691=PLANE('',#1909); +#1692=PLANE('',#1910); +#1693=PLANE('',#1912); +#1694=PLANE('',#1914); +#1695=PLANE('',#1926); +#1696=PLANE('',#1928); +#1697=PLANE('',#1929); +#1698=PLANE('',#1930); +#1699=PLANE('',#1931); +#1700=PLANE('',#1933); +#1701=PLANE('',#1936); +#1702=PLANE('',#1946); +#1703=PLANE('',#1947); +#1704=PLANE('',#1948); +#1705=PLANE('',#1949); +#1706=PLANE('',#1952); +#1707=PLANE('',#1953); +#1708=PLANE('',#1957); +#1709=PLANE('',#1958); +#1710=PLANE('',#1959); +#1711=PLANE('',#1960); +#1712=PLANE('',#1966); +#1713=PLANE('',#1970); +#1714=PLANE('',#1972); +#1715=PLANE('',#1973); +#1716=PLANE('',#1974); +#1717=PLANE('',#1976); +#1718=PLANE('',#1977); +#1719=PLANE('',#1978); +#1720=PLANE('',#1979); +#1721=PLANE('',#1980); +#1722=PLANE('',#1981); +#1723=PLANE('',#1982); +#1724=PLANE('',#1983); +#1725=PLANE('',#1985); +#1726=PLANE('',#1989); +#1727=PLANE('',#1990); +#1728=PLANE('',#1991); +#1729=PLANE('',#1992); +#1730=PLANE('',#1993); +#1731=PLANE('',#1995); +#1732=PLANE('',#1999); +#1733=PLANE('',#2002); +#1734=ADVANCED_FACE('',(#107),#1666,.F.); +#1735=ADVANCED_FACE('',(#108),#1667,.F.); +#1736=ADVANCED_FACE('',(#109),#1668,.F.); +#1737=ADVANCED_FACE('',(#110),#1669,.T.); +#1738=ADVANCED_FACE('',(#111),#1670,.T.); +#1739=ADVANCED_FACE('',(#112),#1671,.T.); +#1740=ADVANCED_FACE('',(#113),#1672,.T.); +#1741=ADVANCED_FACE('',(#114),#1673,.T.); +#1742=ADVANCED_FACE('',(#115),#1674,.T.); +#1743=ADVANCED_FACE('',(#116),#1675,.T.); +#1744=ADVANCED_FACE('',(#117),#1676,.T.); +#1745=ADVANCED_FACE('',(#118),#1677,.F.); +#1746=ADVANCED_FACE('',(#119),#1678,.F.); +#1747=ADVANCED_FACE('',(#120),#1679,.F.); +#1748=ADVANCED_FACE('',(#121),#1680,.F.); +#1749=ADVANCED_FACE('',(#122),#1681,.T.); +#1750=ADVANCED_FACE('',(#123),#1682,.T.); +#1751=ADVANCED_FACE('',(#124),#1683,.T.); +#1752=ADVANCED_FACE('',(#125),#1684,.T.); +#1753=ADVANCED_FACE('',(#126),#1685,.F.); +#1754=ADVANCED_FACE('',(#127),#1686,.F.); +#1755=ADVANCED_FACE('',(#128),#1687,.F.); +#1756=ADVANCED_FACE('',(#129),#1688,.F.); +#1757=ADVANCED_FACE('',(#130),#1689,.F.); +#1758=ADVANCED_FACE('',(#131),#82,.T.); +#1759=ADVANCED_FACE('',(#132),#1690,.T.); +#1760=ADVANCED_FACE('',(#133),#1691,.F.); +#1761=ADVANCED_FACE('',(#134),#1692,.F.); +#1762=ADVANCED_FACE('',(#135),#83,.F.); +#1763=ADVANCED_FACE('',(#136),#1693,.T.); +#1764=ADVANCED_FACE('',(#137),#1694,.F.); +#1765=ADVANCED_FACE('',(#138),#84,.F.); +#1766=ADVANCED_FACE('',(#139),#85,.T.); +#1767=ADVANCED_FACE('',(#140),#41,.F.); +#1768=ADVANCED_FACE('',(#141),#86,.F.); +#1769=ADVANCED_FACE('',(#142),#42,.F.); +#1770=ADVANCED_FACE('',(#143),#87,.T.); +#1771=ADVANCED_FACE('',(#144),#88,.F.); +#1772=ADVANCED_FACE('',(#145),#43,.F.); +#1773=ADVANCED_FACE('',(#146),#89,.F.); +#1774=ADVANCED_FACE('',(#147,#19,#20),#1695,.T.); +#1775=ADVANCED_FACE('',(#148),#1696,.T.); +#1776=ADVANCED_FACE('',(#149,#21),#1697,.F.); +#1777=ADVANCED_FACE('',(#150),#1698,.F.); +#1778=ADVANCED_FACE('',(#151,#22),#1699,.F.); +#1779=ADVANCED_FACE('',(#152),#1700,.T.); +#1780=ADVANCED_FACE('',(#153),#90,.F.); +#1781=ADVANCED_FACE('',(#154,#23),#1701,.T.); +#1782=ADVANCED_FACE('',(#155),#91,.T.); +#1783=ADVANCED_FACE('',(#156),#17,.T.); +#1784=ADVANCED_FACE('',(#157),#1702,.F.); +#1785=ADVANCED_FACE('',(#158),#1703,.F.); +#1786=ADVANCED_FACE('',(#159),#1704,.F.); +#1787=ADVANCED_FACE('',(#160),#1705,.T.); +#1788=ADVANCED_FACE('',(#161),#18,.T.); +#1789=ADVANCED_FACE('',(#162),#1706,.F.); +#1790=ADVANCED_FACE('',(#163),#44,.F.); +#1791=ADVANCED_FACE('',(#164),#1707,.F.); +#1792=ADVANCED_FACE('',(#165),#45,.F.); +#1793=ADVANCED_FACE('',(#166),#92,.T.); +#1794=ADVANCED_FACE('',(#167),#93,.F.); +#1795=ADVANCED_FACE('',(#168),#1708,.T.); +#1796=ADVANCED_FACE('',(#169),#1709,.T.); +#1797=ADVANCED_FACE('',(#170),#1710,.T.); +#1798=ADVANCED_FACE('',(#171),#1711,.F.); +#1799=ADVANCED_FACE('',(#172),#94,.F.); +#1800=ADVANCED_FACE('',(#173),#95,.T.); +#1801=ADVANCED_FACE('',(#174),#46,.F.); +#1802=ADVANCED_FACE('',(#175),#1712,.F.); +#1803=ADVANCED_FACE('',(#176),#96,.F.); +#1804=ADVANCED_FACE('',(#177),#47,.F.); +#1805=ADVANCED_FACE('',(#178),#1713,.F.); +#1806=ADVANCED_FACE('',(#179),#97,.T.); +#1807=ADVANCED_FACE('',(#180),#1714,.T.); +#1808=ADVANCED_FACE('',(#181),#1715,.F.); +#1809=ADVANCED_FACE('',(#182),#1716,.T.); +#1810=ADVANCED_FACE('',(#183),#98,.F.); +#1811=ADVANCED_FACE('',(#184),#1717,.T.); +#1812=ADVANCED_FACE('',(#185),#1718,.F.); +#1813=ADVANCED_FACE('',(#186),#1719,.F.); +#1814=ADVANCED_FACE('',(#187),#1720,.F.); +#1815=ADVANCED_FACE('',(#188),#1721,.T.); +#1816=ADVANCED_FACE('',(#189),#1722,.T.); +#1817=ADVANCED_FACE('',(#190),#1723,.T.); +#1818=ADVANCED_FACE('',(#191),#1724,.F.); +#1819=ADVANCED_FACE('',(#192),#1725,.T.); +#1820=ADVANCED_FACE('',(#193),#99,.F.); +#1821=ADVANCED_FACE('',(#194),#1726,.T.); +#1822=ADVANCED_FACE('',(#195),#1727,.T.); +#1823=ADVANCED_FACE('',(#196),#1728,.T.); +#1824=ADVANCED_FACE('',(#197),#1729,.F.); +#1825=ADVANCED_FACE('',(#198),#1730,.F.); +#1826=ADVANCED_FACE('',(#199),#100,.T.); +#1827=ADVANCED_FACE('',(#200),#1731,.T.); +#1828=ADVANCED_FACE('',(#201),#101,.T.); +#1829=ADVANCED_FACE('',(#202),#48,.F.); +#1830=ADVANCED_FACE('',(#203),#102,.F.); +#1831=ADVANCED_FACE('',(#204),#1732,.F.); +#1832=ADVANCED_FACE('',(#205),#103,.F.); +#1833=ADVANCED_FACE('',(#206),#1733,.F.); +#1834=ADVANCED_FACE('',(#207),#104,.T.); +#1835=ADVANCED_FACE('',(#208),#49,.F.); +#1836=ADVANCED_FACE('',(#209),#105,.F.); +#1837=ADVANCED_FACE('',(#210),#50,.F.); +#1838=ADVANCED_FACE('',(#211),#106,.F.); +#1839=ADVANCED_FACE('',(#212),#51,.F.); +#1840=ADVANCED_FACE('',(#213),#52,.F.); +#1841=CLOSED_SHELL('',(#1734,#1735,#1736,#1737,#1738,#1739,#1740,#1741, +#1742,#1743,#1744,#1745,#1746,#1747,#1748,#1749,#1750,#1751,#1752,#1753, +#1754,#1755,#1756,#1757,#1758,#1759,#1760,#1761,#1762,#1763,#1764,#1765, +#1766,#1767,#1768,#1769,#1770,#1771,#1772,#1773,#1774,#1775,#1776,#1777, +#1778,#1779,#1780,#1781,#1782,#1783,#1784,#1785,#1786,#1787,#1788,#1789, +#1790,#1791,#1792,#1793,#1794,#1795,#1796,#1797,#1798,#1799,#1800,#1801, +#1802,#1803,#1804,#1805,#1806,#1807,#1808,#1809,#1810,#1811,#1812,#1813, +#1814,#1815,#1816,#1817,#1818,#1819,#1820,#1821,#1822,#1823,#1824,#1825, +#1826,#1827,#1828,#1829,#1830,#1831,#1832,#1833,#1834,#1835,#1836,#1837, +#1838,#1839,#1840)); +#1842=DERIVED_UNIT_ELEMENT(#1844,1.); +#1843=DERIVED_UNIT_ELEMENT(#3567,3.); +#1844=( +MASS_UNIT() +NAMED_UNIT(*) +SI_UNIT($,.GRAM.) +); +#1845=DERIVED_UNIT((#1842,#1843)); +#1846=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(1.),#1845); +#1847=PROPERTY_DEFINITION_REPRESENTATION(#1852,#1849); +#1848=PROPERTY_DEFINITION_REPRESENTATION(#1853,#1850); +#1849=REPRESENTATION('material name',(#1851),#3564); +#1850=REPRESENTATION('density',(#1846),#3564); +#1851=DESCRIPTIVE_REPRESENTATION_ITEM('Generic','Generic'); +#1852=PROPERTY_DEFINITION('material property','material name',#3574); +#1853=PROPERTY_DEFINITION('material property','density of part',#3574); +#1854=DATE_TIME_ROLE('creation_date'); +#1855=APPLIED_DATE_AND_TIME_ASSIGNMENT(#1856,#1854,(#3574)); +#1856=DATE_AND_TIME(#1857,#1858); +#1857=CALENDAR_DATE(2013,1,8); +#1858=LOCAL_TIME(15,17,53.,#1859); +#1859=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); +#1860=AXIS2_PLACEMENT_3D('placement',#2476,#2006,#2007); +#1861=AXIS2_PLACEMENT_3D('',#2477,#2008,#2009); +#1862=AXIS2_PLACEMENT_3D('',#2482,#2011,#2012); +#1863=AXIS2_PLACEMENT_3D('',#2485,#2014,#2015); +#1864=AXIS2_PLACEMENT_3D('',#2486,#2016,#2017); +#1865=AXIS2_PLACEMENT_3D('',#2492,#2021,#2022); +#1866=AXIS2_PLACEMENT_3D('',#2498,#2026,#2027); +#1867=AXIS2_PLACEMENT_3D('',#2503,#2029,#2030); +#1868=AXIS2_PLACEMENT_3D('',#2506,#2032,#2033); +#1869=AXIS2_PLACEMENT_3D('',#2507,#2034,#2035); +#1870=AXIS2_PLACEMENT_3D('',#2513,#2039,#2040); +#1871=AXIS2_PLACEMENT_3D('',#2515,#2041,#2042); +#1872=AXIS2_PLACEMENT_3D('',#2518,#2044,#2045); +#1873=AXIS2_PLACEMENT_3D('',#2519,#2046,#2047); +#1874=AXIS2_PLACEMENT_3D('',#2528,#2052,#2053); +#1875=AXIS2_PLACEMENT_3D('',#2534,#2057,#2058); +#1876=AXIS2_PLACEMENT_3D('',#2536,#2059,#2060); +#1877=AXIS2_PLACEMENT_3D('',#2539,#2062,#2063); +#1878=AXIS2_PLACEMENT_3D('',#2540,#2064,#2065); +#1879=AXIS2_PLACEMENT_3D('',#2545,#2067,#2068); +#1880=AXIS2_PLACEMENT_3D('',#2548,#2070,#2071); +#1881=AXIS2_PLACEMENT_3D('',#2549,#2072,#2073); +#1882=AXIS2_PLACEMENT_3D('',#2555,#2077,#2078); +#1883=AXIS2_PLACEMENT_3D('',#2560,#2080,#2081); +#1884=AXIS2_PLACEMENT_3D('',#2563,#2083,#2084); +#1885=AXIS2_PLACEMENT_3D('',#2564,#2085,#2086); +#1886=AXIS2_PLACEMENT_3D('',#2570,#2090,#2091); +#1887=AXIS2_PLACEMENT_3D('',#2572,#2092,#2093); +#1888=AXIS2_PLACEMENT_3D('',#2575,#2095,#2096); +#1889=AXIS2_PLACEMENT_3D('',#2576,#2097,#2098); +#1890=AXIS2_PLACEMENT_3D('',#2582,#2102,#2103); +#1891=AXIS2_PLACEMENT_3D('',#2591,#2108,#2109); +#1892=AXIS2_PLACEMENT_3D('',#2593,#2110,#2111); +#1893=AXIS2_PLACEMENT_3D('',#2596,#2113,#2114); +#1894=AXIS2_PLACEMENT_3D('',#2597,#2115,#2116); +#1895=AXIS2_PLACEMENT_3D('',#2599,#2117,#2118); +#1896=AXIS2_PLACEMENT_3D('',#2602,#2120,#2121); +#1897=AXIS2_PLACEMENT_3D('',#2603,#2122,#2123); +#1898=AXIS2_PLACEMENT_3D('',#2609,#2127,#2128); +#1899=AXIS2_PLACEMENT_3D('',#2618,#2133,#2134); +#1900=AXIS2_PLACEMENT_3D('',#2620,#2135,#2136); +#1901=AXIS2_PLACEMENT_3D('',#2623,#2138,#2139); +#1902=AXIS2_PLACEMENT_3D('',#2624,#2140,#2141); +#1903=AXIS2_PLACEMENT_3D('',#2630,#2145,#2146); +#1904=AXIS2_PLACEMENT_3D('',#2635,#2148,#2149); +#1905=AXIS2_PLACEMENT_3D('',#2638,#2151,#2152); +#1906=AXIS2_PLACEMENT_3D('',#2639,#2153,#2154); +#1907=AXIS2_PLACEMENT_3D('',#2645,#2158,#2159); +#1908=AXIS2_PLACEMENT_3D('',#2648,#2162,#2163); +#1909=AXIS2_PLACEMENT_3D('',#2652,#2166,#2167); +#1910=AXIS2_PLACEMENT_3D('',#2657,#2171,#2172); +#1911=AXIS2_PLACEMENT_3D('',#2659,#2174,#2175); +#1912=AXIS2_PLACEMENT_3D('',#2661,#2177,#2178); +#1913=AXIS2_PLACEMENT_3D('',#2665,#2180,#2181); +#1914=AXIS2_PLACEMENT_3D('',#2668,#2184,#2185); +#1915=AXIS2_PLACEMENT_3D('',#2673,#2188,#2189); +#1916=AXIS2_PLACEMENT_3D('',#2675,#2191,#2192); +#1917=AXIS2_PLACEMENT_3D('',#2695,#2194,#2195); +#1918=AXIS2_PLACEMENT_3D('',#2756,#2200,#2201); +#1919=AXIS2_PLACEMENT_3D('',#2820,#2205,#2206); +#1920=AXIS2_PLACEMENT_3D('',#2829,#2208,#2209); +#1921=AXIS2_PLACEMENT_3D('',#2865,#2213,#2214); +#1922=AXIS2_PLACEMENT_3D('',#2868,#2215,#2216); +#1923=AXIS2_PLACEMENT_3D('',#2869,#2217,#2218); +#1924=AXIS2_PLACEMENT_3D('',#2873,#2221,#2222); +#1925=AXIS2_PLACEMENT_3D('',#2874,#2223,#2224); +#1926=AXIS2_PLACEMENT_3D('',#2875,#2225,#2226); +#1927=AXIS2_PLACEMENT_3D('',#2891,#2236,#2237); +#1928=AXIS2_PLACEMENT_3D('',#2893,#2239,#2240); +#1929=AXIS2_PLACEMENT_3D('',#2899,#2244,#2245); +#1930=AXIS2_PLACEMENT_3D('',#2936,#2258,#2259); +#1931=AXIS2_PLACEMENT_3D('',#2938,#2261,#2262); +#1932=AXIS2_PLACEMENT_3D('',#2941,#2264,#2265); +#1933=AXIS2_PLACEMENT_3D('',#2942,#2266,#2267); +#1934=AXIS2_PLACEMENT_3D('',#2943,#2268,#2269); +#1935=AXIS2_PLACEMENT_3D('',#2946,#2271,#2272); +#1936=AXIS2_PLACEMENT_3D('',#2947,#2273,#2274); +#1937=AXIS2_PLACEMENT_3D('',#2949,#2275,#2276); +#1938=AXIS2_PLACEMENT_3D('',#2950,#2277,#2278); +#1939=AXIS2_PLACEMENT_3D('',#2954,#2280,#2281); +#1940=AXIS2_PLACEMENT_3D('',#2958,#2283,#2284); +#1941=AXIS2_PLACEMENT_3D('',#2962,#2286,#2287); +#1942=AXIS2_PLACEMENT_3D('',#2966,#2289,#2290); +#1943=AXIS2_PLACEMENT_3D('',#2969,#2292,#2293); +#1944=AXIS2_PLACEMENT_3D('',#2970,#2294,#2295); +#1945=AXIS2_PLACEMENT_3D('',#2973,#2296,#2297); +#1946=AXIS2_PLACEMENT_3D('',#2980,#2298,#2299); +#1947=AXIS2_PLACEMENT_3D('',#2982,#2301,#2302); +#1948=AXIS2_PLACEMENT_3D('',#2984,#2304,#2305); +#1949=AXIS2_PLACEMENT_3D('',#2986,#2307,#2308); +#1950=AXIS2_PLACEMENT_3D('',#3006,#2310,#2311); +#1951=AXIS2_PLACEMENT_3D('',#3007,#2312,#2313); +#1952=AXIS2_PLACEMENT_3D('',#3008,#2314,#2315); +#1953=AXIS2_PLACEMENT_3D('',#3058,#2317,#2318); +#1954=AXIS2_PLACEMENT_3D('',#3131,#2321,#2322); +#1955=AXIS2_PLACEMENT_3D('',#3144,#2324,#2325); +#1956=AXIS2_PLACEMENT_3D('',#3147,#2327,#2328); +#1957=AXIS2_PLACEMENT_3D('',#3148,#2329,#2330); +#1958=AXIS2_PLACEMENT_3D('',#3152,#2333,#2334); +#1959=AXIS2_PLACEMENT_3D('',#3159,#2339,#2340); +#1960=AXIS2_PLACEMENT_3D('',#3161,#2342,#2343); +#1961=AXIS2_PLACEMENT_3D('',#3162,#2344,#2345); +#1962=AXIS2_PLACEMENT_3D('',#3163,#2346,#2347); +#1963=AXIS2_PLACEMENT_3D('',#3164,#2348,#2349); +#1964=AXIS2_PLACEMENT_3D('',#3165,#2350,#2351); +#1965=AXIS2_PLACEMENT_3D('',#3166,#2352,#2353); +#1966=AXIS2_PLACEMENT_3D('',#3217,#2357,#2358); +#1967=AXIS2_PLACEMENT_3D('',#3219,#2359,#2360); +#1968=AXIS2_PLACEMENT_3D('',#3226,#2361,#2362); +#1969=AXIS2_PLACEMENT_3D('',#3227,#2363,#2364); +#1970=AXIS2_PLACEMENT_3D('',#3259,#2367,#2368); +#1971=AXIS2_PLACEMENT_3D('',#3261,#2370,#2371); +#1972=AXIS2_PLACEMENT_3D('',#3263,#2373,#2374); +#1973=AXIS2_PLACEMENT_3D('',#3267,#2377,#2378); +#1974=AXIS2_PLACEMENT_3D('',#3272,#2382,#2383); +#1975=AXIS2_PLACEMENT_3D('',#3274,#2385,#2386); +#1976=AXIS2_PLACEMENT_3D('',#3275,#2387,#2388); +#1977=AXIS2_PLACEMENT_3D('',#3276,#2389,#2390); +#1978=AXIS2_PLACEMENT_3D('',#3277,#2391,#2392); +#1979=AXIS2_PLACEMENT_3D('',#3278,#2393,#2394); +#1980=AXIS2_PLACEMENT_3D('',#3279,#2395,#2396); +#1981=AXIS2_PLACEMENT_3D('',#3280,#2397,#2398); +#1982=AXIS2_PLACEMENT_3D('',#3281,#2399,#2400); +#1983=AXIS2_PLACEMENT_3D('',#3282,#2401,#2402); +#1984=AXIS2_PLACEMENT_3D('',#3286,#2404,#2405); +#1985=AXIS2_PLACEMENT_3D('',#3288,#2407,#2408); +#1986=AXIS2_PLACEMENT_3D('',#3291,#2410,#2411); +#1987=AXIS2_PLACEMENT_3D('',#3292,#2412,#2413); +#1988=AXIS2_PLACEMENT_3D('',#3300,#2418,#2419); +#1989=AXIS2_PLACEMENT_3D('',#3301,#2420,#2421); +#1990=AXIS2_PLACEMENT_3D('',#3303,#2423,#2424); +#1991=AXIS2_PLACEMENT_3D('',#3309,#2428,#2429); +#1992=AXIS2_PLACEMENT_3D('',#3312,#2432,#2433); +#1993=AXIS2_PLACEMENT_3D('',#3315,#2436,#2437); +#1994=AXIS2_PLACEMENT_3D('',#3316,#2438,#2439); +#1995=AXIS2_PLACEMENT_3D('',#3318,#2441,#2442); +#1996=AXIS2_PLACEMENT_3D('',#3323,#2445,#2446); +#1997=AXIS2_PLACEMENT_3D('',#3325,#2448,#2449); +#1998=AXIS2_PLACEMENT_3D('',#3384,#2451,#2452); +#1999=AXIS2_PLACEMENT_3D('',#3397,#2454,#2455); +#2000=AXIS2_PLACEMENT_3D('',#3400,#2457,#2458); +#2001=AXIS2_PLACEMENT_3D('',#3403,#2461,#2462); +#2002=AXIS2_PLACEMENT_3D('',#3404,#2463,#2464); +#2003=AXIS2_PLACEMENT_3D('',#3405,#2465,#2466); +#2004=AXIS2_PLACEMENT_3D('',#3475,#2470,#2471); +#2005=AXIS2_PLACEMENT_3D('',#3507,#2474,#2475); +#2006=DIRECTION('axis',(0.,0.,1.)); +#2007=DIRECTION('refdir',(1.,0.,0.)); +#2008=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2009=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2010=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2011=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2012=DIRECTION('ref_axis',(5.61210437815757E-48,-2.22044604925031E-16, +-1.)); +#2013=DIRECTION('',(3.36726262690599E-48,-2.22044604925031E-16,-1.)); +#2014=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2015=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2016=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2017=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2018=DIRECTION('',(1.06110338123355E-28,-8.66678709475425E-13,-1.)); +#2019=DIRECTION('',(-1.22464679914735E-16,1.,-3.3528735343825E-14)); +#2020=DIRECTION('',(2.54191896340051E-29,-2.07785479643525E-13,-1.)); +#2021=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2022=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2023=DIRECTION('',(1.22464679914735E-16,-1.,-6.4020269474005E-14)); +#2024=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2025=DIRECTION('',(1.22464679914735E-16,-1.,-8.13255932466775E-13)); +#2026=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2027=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); +#2028=DIRECTION('',(3.36726262690599E-48,-2.22044604925031E-16,-1.)); +#2029=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2030=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2031=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2032=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2033=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2034=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2035=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); +#2036=DIRECTION('',(-2.12811820191711E-29,1.73996083241925E-13,1.)); +#2037=DIRECTION('',(-1.22464679914735E-16,1.,4.1411318818695E-14)); +#2038=DIRECTION('',(-8.54203000491601E-29,6.97731727467225E-13,1.)); +#2039=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2040=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); +#2041=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2042=DIRECTION('ref_axis',(-5.79666151596615E-18,0.04733333333335,0.998879149624996)); +#2043=DIRECTION('',(4.75670884464262E-30,-3.8619430732735E-14,1.)); +#2044=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2045=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-5.00142982587292E-12)); +#2046=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2047=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2048=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2049=DIRECTION('',(-1.22464679914735E-16,1.,-2.0843594897005E-14)); +#2050=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2051=DIRECTION('',(1.22464679914735E-16,-1.,2.06893562931393E-12)); +#2052=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2053=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2054=DIRECTION('',(-1.22464679914735E-16,1.,-2.0843594897005E-14)); +#2055=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2056=DIRECTION('',(1.22464679914735E-16,-1.,2.06893562931393E-12)); +#2057=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2058=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2059=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2060=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2061=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2062=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2063=DIRECTION('ref_axis',(9.38950951276193E-29,-7.66933680915025E-13, +-1.)); +#2064=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2065=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2066=DIRECTION('',(-1.22464679914735E-16,1.,-8.6264329013375E-14)); +#2067=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2068=DIRECTION('ref_axis',(7.1982932780676E-17,-0.587785252293096,0.809016994374495)); +#2069=DIRECTION('',(-7.19829327805551E-17,0.587785252292109,-0.809016994375212)); +#2070=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2071=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2072=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2073=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2074=DIRECTION('',(9.90760072617357E-17,-0.809016994375165,-0.587785252292174)); +#2075=DIRECTION('',(-2.97262580684554E-29,2.42955362400325E-13,1.)); +#2076=DIRECTION('',(9.90760072617934E-17,-0.809016994375636,-0.587785252291526)); +#2077=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2078=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); +#2079=DIRECTION('',(3.36726262689656E-48,-2.22044604925031E-16,-1.)); +#2080=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2081=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2082=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2083=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2084=DIRECTION('ref_axis',(-4.91387466169359E-28,4.01226111798108E-12, +-1.)); +#2085=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2086=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); +#2087=DIRECTION('',(-2.0816999422183E-29,1.69761655484575E-13,-1.)); +#2088=DIRECTION('',(1.22464679914735E-16,-1.,3.0753177782115E-14)); +#2089=DIRECTION('',(-1.64720705964772E-29,1.34726708381825E-13,1.)); +#2090=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2091=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); +#2092=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2093=DIRECTION('ref_axis',(7.19829327808821E-17,-0.587785252294779,0.809016994373272)); +#2094=DIRECTION('',(7.19829327805551E-17,-0.587785252292109,0.809016994375212)); +#2095=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2096=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2097=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2098=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); +#2099=DIRECTION('',(-9.90760072617876E-17,0.809016994375588,0.587785252291591)); +#2100=DIRECTION('',(2.46389215876424E-29,-2.01414107531125E-13,-1.)); +#2101=DIRECTION('',(9.90760072617358E-17,-0.809016994375165,-0.587785252292174)); +#2102=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2103=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2104=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2105=DIRECTION('',(7.56370588613847E-30,-6.1984390216775E-14,-1.)); +#2106=DIRECTION('',(-1.22464679914735E-16,1.,8.5820239803525E-14)); +#2107=DIRECTION('',(2.60191482483207E-29,-2.12240424299875E-13,1.)); +#2108=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2109=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2110=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2111=DIRECTION('ref_axis',(7.1982932780676E-17,-0.587785252293096,0.809016994374495)); +#2112=DIRECTION('',(-7.19829327805551E-17,0.587785252292109,-0.809016994375212)); +#2113=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2114=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2115=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2116=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2117=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2118=DIRECTION('ref_axis',(8.98232833191182E-29,-7.33684814292125E-13, +-1.)); +#2119=DIRECTION('',(-3.36726262689723E-48,2.22044604925031E-16,1.)); +#2120=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2121=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2122=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2123=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2124=DIRECTION('',(-9.90760072617357E-17,0.809016994375165,0.587785252292174)); +#2125=DIRECTION('',(-2.97262580684554E-29,2.42955362400325E-13,1.)); +#2126=DIRECTION('',(9.90760072617934E-17,-0.809016994375636,-0.587785252291526)); +#2127=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2128=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2129=DIRECTION('',(-3.36726262689791E-48,2.22044604925031E-16,1.)); +#2130=DIRECTION('',(-1.22464679914735E-16,1.,-5.2806997849735E-14)); +#2131=DIRECTION('',(-3.36726262688915E-48,2.22044604925031E-16,1.)); +#2132=DIRECTION('',(-1.22464679914735E-16,1.,2.0399505687155E-14)); +#2133=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2134=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2135=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2136=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2137=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2138=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2139=DIRECTION('ref_axis',(-4.92914395597475E-28,4.02472944296408E-12, +-1.)); +#2140=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2141=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2142=DIRECTION('',(-1.22464679914735E-16,1.,-5.2806997849735E-14)); +#2143=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2144=DIRECTION('',(-1.22464679914735E-16,1.,2.0399505687155E-14)); +#2145=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2146=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2147=DIRECTION('',(1.22464679914735E-16,-1.,-3.0309088572265E-14)); +#2148=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2149=DIRECTION('ref_axis',(7.19829327808821E-17,-0.587785252294779,0.809016994373272)); +#2150=DIRECTION('',(7.1982932780555E-17,-0.587785252292109,0.809016994375212)); +#2151=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2152=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2153=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2154=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2155=DIRECTION('',(9.90760072617876E-17,-0.809016994375588,-0.587785252291591)); +#2156=DIRECTION('',(2.46647020089989E-29,-2.01624620647025E-13,-1.)); +#2157=DIRECTION('',(9.90760072617358E-17,-0.809016994375165,-0.587785252292174)); +#2158=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2159=DIRECTION('ref_axis',(1.22320986068763E-16,-0.998826650703924,0.0484285230373714)); +#2160=DIRECTION('',(-1.,-1.22464679914742E-16,-3.035766082959E-14)); +#2161=DIRECTION('',(1.,-3.05604568014253E-14,6.78578455680096E-30)); +#2162=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2163=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2164=DIRECTION('',(1.22464679914735E-16,-1.,4.64527983432025E-13)); +#2165=DIRECTION('',(-1.,-1.22464679914726E-16,4.380176776841E-14)); +#2166=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2167=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2168=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2169=DIRECTION('',(-1.22464679914735E-16,1.,-4.0101082295595E-14)); +#2170=DIRECTION('',(1.,1.22464679914739E-16,1.734723475977E-14)); +#2171=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2172=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2173=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2174=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2175=DIRECTION('ref_axis',(1.21444077871371E-16,-0.99166615187273,0.128834169496804)); +#2176=DIRECTION('',(-1.,1.25762532653253E-14,-2.79248918773628E-30)); +#2177=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2178=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2179=DIRECTION('',(-1.,-1.22464679914739E-16,-1.580554543172E-14)); +#2180=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2181=DIRECTION('ref_axis',(-0.905948407741114,-2.0495772498149E-16,-0.423388099161207)); +#2182=DIRECTION('',(-1.,-1.22464679914724E-16,5.172723959471E-14)); +#2183=DIRECTION('',(-7.56370588613969E-30,6.1984390216785E-14,1.)); +#2184=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2185=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2186=DIRECTION('',(-2.60149461894986E-29,2.12206111885675E-13,-1.)); +#2187=DIRECTION('',(1.,1.22464679914708E-16,-1.221337601541E-13)); +#2188=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2189=DIRECTION('ref_axis',(0.905948407741247,1.69356385651491E-17,-0.423388099160922)); +#2190=DIRECTION('',(1.,1.35032957556248E-14,1.709872642158E-13)); +#2191=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2192=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); +#2193=DIRECTION('',(-1.,-8.65820978747747E-14,1.367401118074E-14)); +#2194=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2195=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); +#2196=DIRECTION('',(1.,-6.72980479172352E-14,7.596677475735E-14)); +#2197=DIRECTION('',(-1.36124785196515E-13,1.,-3.27737836869325E-13)); +#2198=DIRECTION('',(7.52396959039837E-7,-0.798815897584111,0.601575566131409)); +#2199=DIRECTION('',(-1.36124785196515E-13,1.,-3.27737836869325E-13)); +#2200=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2201=DIRECTION('ref_axis',(0.92799049589484,3.09113812500467E-17,-0.372603864082016)); +#2202=DIRECTION('',(-2.44126600737585E-13,-1.,2.25042207091525E-13)); +#2203=DIRECTION('',(-2.44126600737585E-13,-1.,2.25042207091525E-13)); +#2204=DIRECTION('',(-7.4099102280493E-7,0.798815898976503,-0.601575564282503)); +#2205=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2206=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); +#2207=DIRECTION('',(1.,1.98738024318947E-14,-6.83700152953E-14)); +#2208=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2209=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); +#2210=DIRECTION('',(-1.,-2.71381326029648E-14,-1.671268033201E-13)); +#2211=DIRECTION('',(-1.08504227276895E-6,0.587785252291983,-0.809016994374576)); +#2212=DIRECTION('',(-1.08504227276895E-6,0.587785252291983,-0.809016994374576)); +#2213=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2214=DIRECTION('ref_axis',(-0.990117793621231,0.113455092873807,0.0824299499916275)); +#2215=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2216=DIRECTION('ref_axis',(-1.43369639480403E-17,2.22044604925031E-16, +1.)); +#2217=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2218=DIRECTION('ref_axis',(0.752877169209016,0.532463474698734,0.386857358978177)); +#2219=DIRECTION('',(1.10560375861594E-6,-0.587785252291678,0.80901699437477)); +#2220=DIRECTION('',(1.10560375861594E-6,-0.587785252291678,0.80901699437477)); +#2221=DIRECTION('center_axis',(7.19829327806046E-17,-0.587785252292513, +0.809016994374918)); +#2222=DIRECTION('ref_axis',(0.980839673372145,0.15760999729371,0.114510365872702)); +#2223=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2224=DIRECTION('ref_axis',(-0.980839673372249,0.15760999729329,0.114510365872397)); +#2225=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2226=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2227=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2228=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2229=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2230=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2231=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2232=DIRECTION('',(1.,-6.98750001392853E-14,-3.233450400656E-13)); +#2233=DIRECTION('',(1.,4.99445462700248E-14,3.311053210272E-13)); +#2234=DIRECTION('',(-1.,-1.22464679914739E-16,-1.734723475977E-14)); +#2235=DIRECTION('',(1.,-6.98278456542753E-14,-3.285185607066E-13)); +#2236=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2237=DIRECTION('ref_axis',(-1.43369639480403E-17,2.22044604925031E-16, +1.)); +#2238=DIRECTION('',(1.,4.97559283299848E-14,3.311053210272E-13)); +#2239=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2240=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2241=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2242=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2243=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2244=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2245=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2246=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2247=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2248=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2249=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2250=DIRECTION('',(-1.,-1.22464679914752E-16,-7.38857569556E-14)); +#2251=DIRECTION('',(1.,1.22464679914709E-16,-1.193489751472E-13)); +#2252=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2253=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2254=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2255=DIRECTION('',(1.,1.2246467991471E-16,-1.137978600241E-13)); +#2256=DIRECTION('',(-2.71811933979613E-30,2.1973084159455E-14,-1.)); +#2257=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2258=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2259=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2260=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2261=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2262=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2263=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2264=DIRECTION('center_axis',(0.,2.22044604925031E-16,1.)); +#2265=DIRECTION('ref_axis',(1.34752285577285E-13,1.,-2.22044604925031E-16)); +#2266=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2267=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); +#2268=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2269=DIRECTION('ref_axis',(0.0627905195295175,-0.998026728428259,2.21606450618474E-16)); +#2270=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2271=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2272=DIRECTION('ref_axis',(1.33696172330215E-13,-1.,2.22044604925031E-16)); +#2273=DIRECTION('center_axis',(0.,2.22044604925031E-16,1.)); +#2274=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2275=DIRECTION('center_axis',(0.,2.22044604925031E-16,1.)); +#2276=DIRECTION('ref_axis',(1.,-6.05411676667685E-13,1.34428396562677E-28)); +#2277=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2278=DIRECTION('ref_axis',(-0.998026728428274,-0.0627905195292685,1.39422961019139E-17)); +#2279=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2280=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2281=DIRECTION('ref_axis',(-0.998026728428274,-0.0627905195292685,1.39422961019139E-17)); +#2282=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2283=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2284=DIRECTION('ref_axis',(0.366668542965391,-0.930351642982177,2.06579563007331E-16)); +#2285=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2286=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, +-1.)); +#2287=DIRECTION('ref_axis',(-0.366683925769921,-0.930345580191554,-6.06214566950332E-12)); +#2288=DIRECTION('',(-4.82623637167283E-7,-2.10645974358488E-6,0.999999999997665)); +#2289=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2290=DIRECTION('ref_axis',(-0.366668542965391,0.930351642982177,-2.06579563007331E-16)); +#2291=DIRECTION('',(-1.91871792214708E-7,1.86545409494903E-6,-0.999999999998242)); +#2292=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2293=DIRECTION('ref_axis',(-0.998026728428274,-0.0627905195292685,1.39422961019139E-17)); +#2294=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, +-1.)); +#2295=DIRECTION('ref_axis',(-0.261693897529389,-0.96515092291096,-6.28893780151795E-12)); +#2296=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, +-1.)); +#2297=DIRECTION('ref_axis',(-0.407426727320992,-0.913237899927782,-5.95067176987463E-12)); +#2298=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2299=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2300=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2301=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2302=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2303=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2304=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2305=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2306=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2307=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2308=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2309=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2310=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, +-1.)); +#2311=DIRECTION('ref_axis',(-0.261693897529389,-0.96515092291096,-6.28893780151795E-12)); +#2312=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, +-1.)); +#2313=DIRECTION('ref_axis',(0.407426605634987,0.91323795421607,5.95067212361793E-12)); +#2314=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2315=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2316=DIRECTION('',(-7.65533258124356E-17,0.625105343563018,0.780540395782922)); +#2317=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2318=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2319=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2320=DIRECTION('',(-7.65533258124222E-17,0.625105343562908,0.78054039578301)); +#2321=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2322=DIRECTION('ref_axis',(-1.22320986068768E-16,0.998826650703963,-0.0484285230365684)); +#2323=DIRECTION('',(1.,7.53364395756615E-13,-1.67280499620362E-28)); +#2324=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2325=DIRECTION('ref_axis',(-1.21444077871144E-16,0.991666151870873,-0.128834169511097)); +#2326=DIRECTION('',(-1.,6.64909167975952E-14,-2.831068712794E-13)); +#2327=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2328=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,1.39680859471507E-12)); +#2329=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2330=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2331=DIRECTION('',(-3.70808474576373E-30,3.0056765157575E-14,-1.)); +#2332=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2333=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2334=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2335=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2336=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2337=DIRECTION('',(-1.,-1.11144767142415E-13,4.829470157119E-13)); +#2338=DIRECTION('',(-3.70808474576373E-30,3.0056765157575E-14,-1.)); +#2339=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2340=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2341=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2342=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2343=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2344=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2345=DIRECTION('ref_axis',(-5.79666151596249E-18,0.0473333333333201,0.998879149624997)); +#2346=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2347=DIRECTION('ref_axis',(-1.21444077871144E-16,0.991666151870873,-0.128834169511097)); +#2348=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2349=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2350=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2351=DIRECTION('ref_axis',(-1.22320986068768E-16,0.998826650703963,-0.0484285230365684)); +#2352=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2353=DIRECTION('ref_axis',(-2.17374806849756E-18,0.0177500000000901,0.999842456339996)); +#2354=DIRECTION('',(1.,-6.16874155866085E-13,4.398777168561E-13)); +#2355=DIRECTION('',(3.47626338118609E-12,1.,5.72297764735208E-12)); +#2356=DIRECTION('',(3.47626338118609E-12,1.,5.72297764735208E-12)); +#2357=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2358=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2359=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2360=DIRECTION('ref_axis',(-0.933892514695983,-1.93761756014383E-16,-0.357553871458293)); +#2361=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2362=DIRECTION('ref_axis',(0.95003718147939,4.70377168958331E-17,-0.312136754975597)); +#2363=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2364=DIRECTION('ref_axis',(0.933892514696435,3.4975939759956E-17,-0.357553871457113)); +#2365=DIRECTION('',(4.03749249453915E-13,-1.,-1.19049214930907E-12)); +#2366=DIRECTION('',(4.03749249453915E-13,-1.,-1.19049214930907E-12)); +#2367=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2368=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2369=DIRECTION('',(-1.,3.87353411819653E-14,-8.60097352938577E-30)); +#2370=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2371=DIRECTION('ref_axis',(5.93078357303182E-18,-0.0484285230415912,-0.99882665070372)); +#2372=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2373=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2374=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2375=DIRECTION('',(-1.22464679914735E-16,1.,8.86012288170575E-13)); +#2376=DIRECTION('',(1.,1.22464679914747E-16,5.190292640123E-14)); +#2377=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2378=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2379=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2380=DIRECTION('',(1.22464679914735E-16,-1.,-7.7952337587505E-14)); +#2381=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2382=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2383=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2384=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2385=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2386=DIRECTION('ref_axis',(1.5777635329421E-17,-0.128834169496103,-0.991666151872821)); +#2387=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2388=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); +#2389=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2390=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2391=DIRECTION('center_axis',(-7.19829327806126E-17,0.587785252292579, +-0.809016994374871)); +#2392=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2393=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2394=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); +#2395=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); +#2396=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2397=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2398=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2399=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); +#2400=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2401=DIRECTION('center_axis',(-7.19829327806126E-17,0.587785252292579, +-0.809016994374871)); +#2402=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2403=DIRECTION('',(1.,-7.06215094798153E-14,-2.446127213899E-13)); +#2404=DIRECTION('center_axis',(7.19829327806046E-17,-0.587785252292513, +0.809016994374918)); +#2405=DIRECTION('ref_axis',(0.980839673372145,0.15760999729371,0.114510365872702)); +#2406=DIRECTION('',(1.,1.22464679914787E-16,2.324583307575E-13)); +#2407=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); +#2408=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2409=DIRECTION('',(-1.,-1.29075986787115E-13,-1.139500931728E-13)); +#2410=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2411=DIRECTION('ref_axis',(0.752877169209016,0.532463474698734,0.386857358978177)); +#2412=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2413=DIRECTION('ref_axis',(-0.990117793621231,0.113455092873807,0.0824299499916275)); +#2414=DIRECTION('',(1.10560375722903E-6,-0.587785252291725,0.809016994374735)); +#2415=DIRECTION('',(1.10560375722903E-6,-0.587785252291725,0.809016994374735)); +#2416=DIRECTION('',(-1.08504225750397E-6,0.587785252292095,-0.809016994374494)); +#2417=DIRECTION('',(-1.08504225750397E-6,0.587785252292095,-0.809016994374494)); +#2418=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2419=DIRECTION('ref_axis',(-0.980839673372249,0.15760999729329,0.114510365872397)); +#2420=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); +#2421=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2422=DIRECTION('',(-1.,-1.26569749289247E-14,2.81041299763912E-30)); +#2423=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2424=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2425=DIRECTION('',(1.22464679914735E-16,-1.,4.8239661416135E-14)); +#2426=DIRECTION('',(-3.36726262688915E-48,2.22044604925031E-16,1.)); +#2427=DIRECTION('',(-1.22464679914735E-16,1.,-4.15289579752725E-13)); +#2428=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2429=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2430=DIRECTION('',(-1.,-1.22464679914742E-16,-3.035766082959E-14)); +#2431=DIRECTION('',(-1.,-1.22464679914725E-16,4.423544863741E-14)); +#2432=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2433=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2434=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2435=DIRECTION('',(1.,1.22464679914739E-16,1.691355389077E-14)); +#2436=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2437=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2438=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2439=DIRECTION('ref_axis',(1.22320986068763E-16,-0.998826650703924,0.0484285230373714)); +#2440=DIRECTION('',(1.,-3.04520365841753E-14,6.76171043249579E-30)); +#2441=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2442=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2443=DIRECTION('',(1.64720705964772E-29,-1.34726708381825E-13,-1.)); +#2444=DIRECTION('',(-1.,-1.22464679914738E-16,-1.149494213216E-14)); +#2445=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2446=DIRECTION('ref_axis',(-0.905948407741265,-2.04957724981437E-16,-0.423388099160884)); +#2447=DIRECTION('',(-1.,-1.22464679914739E-16,-1.724241319824E-14)); +#2448=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2449=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); +#2450=DIRECTION('',(7.4099100753933E-7,-0.798815898976503,0.601575564282503)); +#2451=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2452=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); +#2453=DIRECTION('',(1.,1.22464679914717E-16,-8.046459492509E-14)); +#2454=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2455=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2456=DIRECTION('',(-1.,1.24678330480753E-14,-2.76841506343112E-30)); +#2457=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2458=DIRECTION('ref_axis',(0.90594840774117,1.69356385651032E-17,-0.423388099161086)); +#2459=DIRECTION('',(1.,1.79037032905948E-14,1.666766609163E-13)); +#2460=DIRECTION('',(2.08127973633609E-29,-1.69727343070375E-13,1.)); +#2461=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2462=DIRECTION('ref_axis',(1.21444077871371E-16,-0.99166615187273,0.128834169496804)); +#2463=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2464=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2465=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2466=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); +#2467=DIRECTION('',(-2.01350387893215E-13,1.,-2.69451128076525E-13)); +#2468=DIRECTION('',(-7.52396958345923E-7,0.798815897584195,-0.601575566131297)); +#2469=DIRECTION('',(-2.01350387893215E-13,1.,-2.69451128076525E-13)); +#2470=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2471=DIRECTION('ref_axis',(0.92799049589484,3.09113812500467E-17,-0.372603864082016)); +#2472=DIRECTION('',(-1.76125440479285E-13,-1.,1.02917674382725E-13)); +#2473=DIRECTION('',(-1.76125440479285E-13,-1.,1.02917674382725E-13)); +#2474=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2475=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); +#2476=CARTESIAN_POINT('',(0.,0.,0.)); +#2477=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); +#2478=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.213942573184684)); +#2479=CARTESIAN_POINT('',(0.654699999999999,1.97763577318468,0.213942573184684)); +#2480=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.213942573184684)); +#2481=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); +#2482=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.213942573184684)); +#2483=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.0107425731846836)); +#2484=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); +#2485=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.213942573184684)); +#2486=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); +#2487=CARTESIAN_POINT('',(0.654699999999999,1.97763577318446,0.506042573184589)); +#2488=CARTESIAN_POINT('',(0.654699999999999,1.97763577318468,0.506042573184683)); +#2489=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); +#2490=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); +#2491=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); +#2492=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); +#2493=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.137742573184684)); +#2494=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); +#2495=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.010742573184684)); +#2496=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.010742573184684)); +#2497=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.0107425731846836)); +#2498=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); +#2499=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.137742573184683)); +#2500=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.0107425731846836)); +#2501=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.137742573184683)); +#2502=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); +#2503=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.213942573184684)); +#2504=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318473,0.213942573184684)); +#2505=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); +#2506=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.213942573184684)); +#2507=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); +#2508=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.506042573184647)); +#2509=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); +#2510=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318468,0.506042573184683)); +#2511=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.506042573184683)); +#2512=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318468,0.213942573184684)); +#2513=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); +#2514=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318468,0.582157164385982)); +#2515=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.506042573184683)); +#2516=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318469,0.709210560312972)); +#2517=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318468,0.582157164385982)); +#2518=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.5060425731857)); +#2519=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#2520=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.137742573184684)); +#2521=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.0107425731846599)); +#2522=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.137742573184684)); +#2523=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); +#2524=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); +#2525=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.0107425731846845)); +#2526=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); +#2527=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.0107425731846845)); +#2528=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#2529=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.137742573184684)); +#2530=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); +#2531=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.0107425731846842)); +#2532=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.0107425731846842)); +#2533=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.0107425731846845)); +#2534=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#2535=CARTESIAN_POINT('',(0.8833,-1.97761713887337,0.213942573184684)); +#2536=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887417,0.213942573184685)); +#2537=CARTESIAN_POINT('',(0.8833,-1.85061713887329,0.213942573184685)); +#2538=CARTESIAN_POINT('',(0.8833,-1.85061713887327,0.213942573184685)); +#2539=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887329,0.213942573184685)); +#2540=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#2541=CARTESIAN_POINT('',(0.8833,-1.97761713887335,0.534977811305723)); +#2542=CARTESIAN_POINT('',(0.8833,-1.85061713887335,0.53497781130571)); +#2543=CARTESIAN_POINT('',(0.8833,-1.97761713887335,0.53497781130571)); +#2544=CARTESIAN_POINT('',(0.8833,-1.89385510213921,0.69937006456271)); +#2545=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887322,0.53497781130571)); +#2546=CARTESIAN_POINT('',(0.8833,-1.81920637509813,0.596624906277174)); +#2547=CARTESIAN_POINT('',(0.8833,-1.89385510213921,0.69937006456271)); +#2548=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887312,0.53497781130571)); +#2549=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#2550=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.802028834793654)); +#2551=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.802028834793654)); +#2552=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); +#2553=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); +#2554=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); +#2555=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); +#2556=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.137742573184684)); +#2557=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.0107425731846846)); +#2558=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.137742573184684)); +#2559=CARTESIAN_POINT('',(1.6961,-1.8506171388733,0.213942573184685)); +#2560=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887329,0.213942573184685)); +#2561=CARTESIAN_POINT('',(1.6961,-1.9776171388734,0.213942573184684)); +#2562=CARTESIAN_POINT('',(1.6961,-1.8506171388733,0.213942573184685)); +#2563=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887417,0.213942573184685)); +#2564=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); +#2565=CARTESIAN_POINT('',(1.6961,-1.85061713887335,0.534977811305752)); +#2566=CARTESIAN_POINT('',(1.6961,-1.85061713887335,0.53497781130571)); +#2567=CARTESIAN_POINT('',(1.6961,-1.97761713887335,0.53497781130571)); +#2568=CARTESIAN_POINT('',(1.6961,-1.85061713887335,0.53497781130571)); +#2569=CARTESIAN_POINT('',(1.6961,-1.9776171388734,0.213942573184684)); +#2570=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); +#2571=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); +#2572=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887312,0.53497781130571)); +#2573=CARTESIAN_POINT('',(1.6961,-1.89385510213918,0.699370064562728)); +#2574=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); +#2575=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887322,0.53497781130571)); +#2576=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); +#2577=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.645048201651155)); +#2578=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); +#2579=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); +#2580=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); +#2581=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); +#2582=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); +#2583=CARTESIAN_POINT('',(-1.6567,-1.97761713887337,0.213942573184684)); +#2584=CARTESIAN_POINT('',(-1.6567,-1.85061713887329,0.213942573184685)); +#2585=CARTESIAN_POINT('',(-1.6567,-1.97761713887337,0.213942573184684)); +#2586=CARTESIAN_POINT('',(-1.6567,-1.97761713887335,0.534977811305706)); +#2587=CARTESIAN_POINT('',(-1.6567,-1.97761713887335,0.53497781130571)); +#2588=CARTESIAN_POINT('',(-1.6567,-1.85061713887335,0.53497781130571)); +#2589=CARTESIAN_POINT('',(-1.6567,-1.97761713887335,0.53497781130571)); +#2590=CARTESIAN_POINT('',(-1.6567,-1.85061713887327,0.213942573184685)); +#2591=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); +#2592=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); +#2593=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887322,0.53497781130571)); +#2594=CARTESIAN_POINT('',(-1.6567,-1.81920637509813,0.596624906276958)); +#2595=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); +#2596=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887312,0.53497781130571)); +#2597=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); +#2598=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.137742573184684)); +#2599=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887329,0.213942573184685)); +#2600=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.0107425731846599)); +#2601=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.0107425731846846)); +#2602=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887417,0.213942573184685)); +#2603=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); +#2604=CARTESIAN_POINT('',(-1.6567,-1.75255742681531,0.802028834793654)); +#2605=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); +#2606=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); +#2607=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); +#2608=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); +#2609=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#2610=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.0107425731846846)); +#2611=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.137742573184684)); +#2612=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.0107425731846846)); +#2613=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); +#2614=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); +#2615=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.137742573184684)); +#2616=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); +#2617=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.137742573184684)); +#2618=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#2619=CARTESIAN_POINT('',(-0.8439,-1.8506171388733,0.213942573184685)); +#2620=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887329,0.213942573184685)); +#2621=CARTESIAN_POINT('',(-0.8439,-1.9776171388734,0.213942573184684)); +#2622=CARTESIAN_POINT('',(-0.8439,-1.9776171388734,0.213942573184684)); +#2623=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887417,0.213942573184685)); +#2624=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#2625=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.0107425731846842)); +#2626=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); +#2627=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.137742573184684)); +#2628=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.137742573184684)); +#2629=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.137742573184684)); +#2630=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#2631=CARTESIAN_POINT('',(-0.8439,-1.85061713887335,0.534977811305751)); +#2632=CARTESIAN_POINT('',(-0.8439,-1.97761713887335,0.53497781130571)); +#2633=CARTESIAN_POINT('',(-0.8439,-1.85061713887335,0.53497781130571)); +#2634=CARTESIAN_POINT('',(-0.8439,-1.8192063750981,0.596624906277213)); +#2635=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887312,0.53497781130571)); +#2636=CARTESIAN_POINT('',(-0.8439,-1.89385510213919,0.699370064562764)); +#2637=CARTESIAN_POINT('',(-0.8439,-1.8192063750981,0.596624906277213)); +#2638=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887322,0.53497781130571)); +#2639=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#2640=CARTESIAN_POINT('',(-0.8439,-1.75255742681532,0.645048201651155)); +#2641=CARTESIAN_POINT('',(-0.8439,-1.75255742681532,0.645048201651155)); +#2642=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); +#2643=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); +#2644=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); +#2645=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887417,0.213942573184685)); +#2646=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.0107425731846846)); +#2647=CARTESIAN_POINT('',(0.8833,-1.97761713887337,0.213942573184684)); +#2648=CARTESIAN_POINT('Origin',(1.2897,-1.34130000000009,0.0107425731846844)); +#2649=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.0107425731846842)); +#2650=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.0107425731846842)); +#2651=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.0107425731846842)); +#2652=CARTESIAN_POINT('Origin',(1.2897,-1.34130000000009,0.137742573184684)); +#2653=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.137742573184684)); +#2654=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.137742573184684)); +#2655=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.137742573184684)); +#2656=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.137742573184684)); +#2657=CARTESIAN_POINT('Origin',(1.2897,-0.908182861126625,0.0742425731846839)); +#2658=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.137742573184684)); +#2659=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887329,0.213942573184685)); +#2660=CARTESIAN_POINT('',(1.6961,-1.8506171388733,0.213942573184685)); +#2661=CARTESIAN_POINT('Origin',(1.2897,-1.97761713887335,0.374460192245324)); +#2662=CARTESIAN_POINT('',(1.45077762689643,-1.97761713887335,0.53497781130571)); +#2663=CARTESIAN_POINT('',(1.6961,-1.97761713887335,0.53497781130571)); +#2664=CARTESIAN_POINT('',(1.12862237310357,-1.97761713887335,0.53497781130571)); +#2665=CARTESIAN_POINT('Origin',(1.2897,-1.97761713887335,0.610256215336612)); +#2666=CARTESIAN_POINT('',(1.12862237310357,-1.97761713887335,0.53497781130571)); +#2667=CARTESIAN_POINT('',(0.8833,-1.97761713887337,0.213942573184684)); +#2668=CARTESIAN_POINT('Origin',(1.2897,-1.85061713887335,0.374460192245324)); +#2669=CARTESIAN_POINT('',(0.8833,-1.85061713887335,0.53497781130571)); +#2670=CARTESIAN_POINT('',(1.12862237310357,-1.85061713887335,0.53497781130571)); +#2671=CARTESIAN_POINT('',(0.8833,-1.85061713887335,0.53497781130571)); +#2672=CARTESIAN_POINT('',(1.45077762689643,-1.85061713887335,0.53497781130571)); +#2673=CARTESIAN_POINT('Origin',(1.2897,-1.85061713887335,0.610256215336613)); +#2674=CARTESIAN_POINT('',(1.45077762689643,-1.85061713887335,0.53497781130571)); +#2675=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887312,0.53497781130571)); +#2676=CARTESIAN_POINT('',(1.4675,-1.83528691039211,0.580817869281748)); +#2677=CARTESIAN_POINT('Ctrl Pts',(1.4674999999999,-1.83528691039218,0.580817869281804)); +#2678=CARTESIAN_POINT('Ctrl Pts',(1.46749999999986,-1.83775897047936,0.577535287641832)); +#2679=CARTESIAN_POINT('Ctrl Pts',(1.46716142265302,-1.8399475816631,0.5740756334841)); +#2680=CARTESIAN_POINT('Ctrl Pts',(1.46582213007869,-1.84375650477271,0.566844056481721)); +#2681=CARTESIAN_POINT('Ctrl Pts',(1.46481919138464,-1.84534470149949,0.563110514155449)); +#2682=CARTESIAN_POINT('Ctrl Pts',(1.462084415834,-1.84800548564382,0.555229621371978)); +#2683=CARTESIAN_POINT('Ctrl Pts',(1.46030488817754,-1.84900000607034,0.55111706900112)); +#2684=CARTESIAN_POINT('Ctrl Pts',(1.45604056878408,-1.85032176447534,0.54290718951096)); +#2685=CARTESIAN_POINT('Ctrl Pts',(1.45355009920341,-1.85061713887335,0.538853902863177)); +#2686=CARTESIAN_POINT('Ctrl Pts',(1.45077762689657,-1.85061713887335,0.534977811305604)); +#2687=CARTESIAN_POINT('',(1.46409329392569,-1.81920637509813,0.596624906277213)); +#2688=CARTESIAN_POINT('Ctrl Pts',(1.46409329392559,-1.81920637509811,0.59662490627714)); +#2689=CARTESIAN_POINT('Ctrl Pts',(1.46521498460559,-1.82219701402636,0.594452079909846)); +#2690=CARTESIAN_POINT('Ctrl Pts',(1.46607149691714,-1.82507936978297,0.592024828605427)); +#2691=CARTESIAN_POINT('Ctrl Pts',(1.46721295594855,-1.83049045345921,0.586711703515785)); +#2692=CARTESIAN_POINT('Ctrl Pts',(1.46750022332458,-1.83299677146113,0.583858882745466)); +#2693=CARTESIAN_POINT('Ctrl Pts',(1.46749999999976,-1.83528691039218,0.580817869281804)); +#2694=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); +#2695=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887322,0.53497781130571)); +#2696=CARTESIAN_POINT('',(1.4640933420347,-1.89385510772357,0.699370060505361)); +#2697=CARTESIAN_POINT('',(1.4640934343374,-1.89385510213916,0.69937006456271)); +#2698=CARTESIAN_POINT('',(1.4675000318515,-1.93673672615384,0.657218114363483)); +#2699=CARTESIAN_POINT('Ctrl Pts',(1.46749999999987,-1.9367365293796,0.657217966188168)); +#2700=CARTESIAN_POINT('Ctrl Pts',(1.46750309552904,-1.9306688248712,0.665275106646836)); +#2701=CARTESIAN_POINT('Ctrl Pts',(1.46721656216776,-1.92401259340339,0.672864908018015)); +#2702=CARTESIAN_POINT('Ctrl Pts',(1.46607578381892,-1.90964635043123,0.686980123831027)); +#2703=CARTESIAN_POINT('Ctrl Pts',(1.4652236622646,-1.90196389138766,0.693478683580883)); +#2704=CARTESIAN_POINT('Ctrl Pts',(1.4640932952984,-1.89385511198778,0.699370057407333)); +#2705=CARTESIAN_POINT('Ctrl Pts',(1.45077762689661,-1.97761713887335,0.53497781130566)); +#2706=CARTESIAN_POINT('Ctrl Pts',(1.45332977791454,-1.97761713887335,0.544492660551524)); +#2707=CARTESIAN_POINT('Ctrl Pts',(1.45555422282574,-1.97694663290019,0.554052847460742)); +#2708=CARTESIAN_POINT('Ctrl Pts',(1.45748734816703,-1.97559632680792,0.563568362952935)); +#2709=CARTESIAN_POINT('Ctrl Pts',(1.45847237020026,-1.97490827972474,0.568416984103971)); +#2710=CARTESIAN_POINT('Ctrl Pts',(1.45938145104245,-1.97404305244094,0.573254545466162)); +#2711=CARTESIAN_POINT('Ctrl Pts',(1.46021749142032,-1.97299510981541,0.578071076322041)); +#2712=CARTESIAN_POINT('Ctrl Pts',(1.46137568268724,-1.97154336414705,0.584743557845486)); +#2713=CARTESIAN_POINT('Ctrl Pts',(1.46239286130754,-1.96975484808545,0.591375817973396)); +#2714=CARTESIAN_POINT('Ctrl Pts',(1.4632690670624,-1.9676274174792,0.597907270519234)); +#2715=CARTESIAN_POINT('Ctrl Pts',(1.46426662295033,-1.96520534832977,0.60534329671245)); +#2716=CARTESIAN_POINT('Ctrl Pts',(1.4650814164734,-1.96234417957993,0.612648367485869)); +#2717=CARTESIAN_POINT('Ctrl Pts',(1.4657192656134,-1.95909470782119,0.619738860834415)); +#2718=CARTESIAN_POINT('Ctrl Pts',(1.46653636086945,-1.95493208096761,0.628821899620177)); +#2719=CARTESIAN_POINT('Ctrl Pts',(1.46706563897802,-1.95010846029841,0.637550698807756)); +#2720=CARTESIAN_POINT('Ctrl Pts',(1.46732129766744,-1.94469440172221,0.645864464509783)); +#2721=CARTESIAN_POINT('Ctrl Pts',(1.46744051093345,-1.94216983427366,0.649741161071308)); +#2722=CARTESIAN_POINT('Ctrl Pts',(1.46750000000298,-1.93951616972784,0.653527777029254)); +#2723=CARTESIAN_POINT('Ctrl Pts',(1.46750000000004,-1.93673692342043,0.657218262922108)); +#2724=CARTESIAN_POINT('Ctrl Pts',(1.46734665564324,-1.9361699363762,0.673627571512113)); +#2725=CARTESIAN_POINT('Ctrl Pts',(1.46734588601435,-1.8990400602186,0.641804818232676)); +#2726=CARTESIAN_POINT('Ctrl Pts',(1.46734511638546,-1.861910184061,0.609982064953241)); +#2727=CARTESIAN_POINT('Ctrl Pts',(1.46734434675657,-1.8247803079034,0.578159311673805)); +#2728=CARTESIAN_POINT('Ctrl Pts',(1.46744895635279,-1.9390973527498,0.670229747508942)); +#2729=CARTESIAN_POINT('Ctrl Pts',(1.46744872859429,-1.90129871208056,0.639186809982546)); +#2730=CARTESIAN_POINT('Ctrl Pts',(1.46744850083579,-1.86350007141132,0.608143872455897)); +#2731=CARTESIAN_POINT('Ctrl Pts',(1.46744827307755,-1.82570143074205,0.577100934929247)); +#2732=CARTESIAN_POINT('Ctrl Pts',(1.46776925575714,-1.95676358479225,0.648285831163182)); +#2733=CARTESIAN_POINT('Ctrl Pts',(1.46777045718476,-1.91491846681575,0.622279315749343)); +#2734=CARTESIAN_POINT('Ctrl Pts',(1.46777165861264,-1.87307334883922,0.596272800335756)); +#2735=CARTESIAN_POINT('Ctrl Pts',(1.46777286004026,-1.8312282308627,0.570266284921917)); +#2736=CARTESIAN_POINT('Ctrl Pts',(1.46465934630108,-1.98085522331817,0.60158941708147)); +#2737=CARTESIAN_POINT('Ctrl Pts',(1.46464667121315,-1.93340032952147,0.586301950459106)); +#2738=CARTESIAN_POINT('Ctrl Pts',(1.46463399612521,-1.88594543572477,0.571014483836743)); +#2739=CARTESIAN_POINT('Ctrl Pts',(1.46462132103702,-1.83849054192807,0.555727017214378)); +#2740=CARTESIAN_POINT('Ctrl Pts',(1.4554556385066,-1.98811211001705,0.552077617318882)); +#2741=CARTESIAN_POINT('Ctrl Pts',(1.45545685677671,-1.93907199111249,0.548152763061927)); +#2742=CARTESIAN_POINT('Ctrl Pts',(1.45545807504707,-1.89003187220794,0.544227908804971)); +#2743=CARTESIAN_POINT('Ctrl Pts',(1.45545929331717,-1.84099175330336,0.540303054547761)); +#2744=CARTESIAN_POINT('Ctrl Pts',(1.44862128584132,-1.98735345031989,0.526776703676462)); +#2745=CARTESIAN_POINT('Ctrl Pts',(1.44862105165231,-1.93847905625714,0.528659040051229)); +#2746=CARTESIAN_POINT('Ctrl Pts',(1.44862081746355,-1.88960466219439,0.530541376425995)); +#2747=CARTESIAN_POINT('Ctrl Pts',(1.44862058327453,-1.84073026813164,0.532423712800761)); +#2748=CARTESIAN_POINT('Ctrl Pts',(1.44747251976523,-1.98711261129728,0.522752141638226)); +#2749=CARTESIAN_POINT('Ctrl Pts',(1.44747172716204,-1.93829026410635,0.525558246279753)); +#2750=CARTESIAN_POINT('Ctrl Pts',(1.44747093455885,-1.88946791691543,0.528364350921025)); +#2751=CARTESIAN_POINT('Ctrl Pts',(1.44747014195566,-1.8406455697245,0.53117045556255)); +#2752=CARTESIAN_POINT('',(1.45077762689641,-1.89104249441663,0.534977811305682)); +#2753=CARTESIAN_POINT('',(1.45077762689643,-1.97761713887335,0.53497781130571)); +#2754=CARTESIAN_POINT('',(1.4675,-1.83528691039211,0.580817869281748)); +#2755=CARTESIAN_POINT('',(1.45077762689643,-1.97761713887335,0.53497781130571)); +#2756=CARTESIAN_POINT('Origin',(1.2897,-1.91411713887335,0.610256215336613)); +#2757=CARTESIAN_POINT('',(1.12862237310356,-1.89104249441959,0.53497781130572)); +#2758=CARTESIAN_POINT('',(1.12862237310357,-1.85061713887335,0.53497781130571)); +#2759=CARTESIAN_POINT('',(1.12862237310357,-1.85061713887335,0.53497781130571)); +#2760=CARTESIAN_POINT('Ctrl Pts',(1.1318449111736,-1.98712973344175,0.523041789885192)); +#2761=CARTESIAN_POINT('Ctrl Pts',(1.13184566415888,-1.93830368566099,0.525781410854758)); +#2762=CARTESIAN_POINT('Ctrl Pts',(1.13184641714417,-1.88947763788026,0.528521031824325)); +#2763=CARTESIAN_POINT('Ctrl Pts',(1.13184717012971,-1.84065159009951,0.531260652793891)); +#2764=CARTESIAN_POINT('Ctrl Pts',(1.13072588736796,-1.98735924626469,0.52697239511947)); +#2765=CARTESIAN_POINT('Ctrl Pts',(1.13072611045896,-1.9384835862112,0.52880981543751)); +#2766=CARTESIAN_POINT('Ctrl Pts',(1.1307263335497,-1.88960792615774,0.53064723575555)); +#2767=CARTESIAN_POINT('Ctrl Pts',(1.13072655664069,-1.84073226610425,0.532484656073337)); +#2768=CARTESIAN_POINT('Ctrl Pts',(1.12392500670305,-1.98809684838948,0.552181737297332)); +#2769=CARTESIAN_POINT('Ctrl Pts',(1.12392381764955,-1.93906006339992,0.548232988239551)); +#2770=CARTESIAN_POINT('Ctrl Pts',(1.1239226285963,-1.89002327841037,0.544284239181514)); +#2771=CARTESIAN_POINT('Ctrl Pts',(1.12392143954305,-1.84098649342081,0.540335490123732)); +#2772=CARTESIAN_POINT('Ctrl Pts',(1.11474065369892,-1.98085522247281,0.601589416809182)); +#2773=CARTESIAN_POINT('Ctrl Pts',(1.11475332878685,-1.93340032895787,0.586301950277497)); +#2774=CARTESIAN_POINT('Ctrl Pts',(1.11476600387504,-1.88594543544295,0.571014483746064)); +#2775=CARTESIAN_POINT('Ctrl Pts',(1.11477867896298,-1.83849054192801,0.555727017214378)); +#2776=CARTESIAN_POINT('Ctrl Pts',(1.11163069196229,-1.95676317904394,0.648286615710254)); +#2777=CARTESIAN_POINT('Ctrl Pts',(1.11162949030124,-1.91491815562145,0.622279920253594)); +#2778=CARTESIAN_POINT('Ctrl Pts',(1.1116282886402,-1.87307313219894,0.596273224797188)); +#2779=CARTESIAN_POINT('Ctrl Pts',(1.11162708697915,-1.83122810877646,0.570266529340528)); +#2780=CARTESIAN_POINT('Ctrl Pts',(1.11195106347115,-1.93909625878547,0.670231104966731)); +#2781=CARTESIAN_POINT('Ctrl Pts',(1.11195129131804,-1.90129786876058,0.639187855942862)); +#2782=CARTESIAN_POINT('Ctrl Pts',(1.11195151916493,-1.86349947873569,0.608144606918995)); +#2783=CARTESIAN_POINT('Ctrl Pts',(1.11195174701182,-1.82570108871081,0.577101357895127)); +#2784=CARTESIAN_POINT('Ctrl Pts',(1.11205340395253,-1.93616823048258,0.673629550165763)); +#2785=CARTESIAN_POINT('Ctrl Pts',(1.11205417389714,-1.89903874410338,0.641806342835418)); +#2786=CARTESIAN_POINT('Ctrl Pts',(1.11205494384175,-1.86190925772417,0.609983135505074)); +#2787=CARTESIAN_POINT('Ctrl Pts',(1.11205571378636,-1.82477977134496,0.578159928174731)); +#2788=CARTESIAN_POINT('',(1.1119,-1.83528691039214,0.580817869281748)); +#2789=CARTESIAN_POINT('Ctrl Pts',(1.12862237310354,-1.85061713887335,0.534977811305684)); +#2790=CARTESIAN_POINT('Ctrl Pts',(1.12583871018308,-1.85061713887335,0.538869548048482)); +#2791=CARTESIAN_POINT('Ctrl Pts',(1.12333938382088,-1.85031937610539,0.542939840845393)); +#2792=CARTESIAN_POINT('Ctrl Pts',(1.11906335879777,-1.84898643565605,0.551183995438567)); +#2793=CARTESIAN_POINT('Ctrl Pts',(1.11728090515558,-1.8479835266345,0.555313284847808)); +#2794=CARTESIAN_POINT('Ctrl Pts',(1.11455745333249,-1.84531105910867,0.563192898501807)); +#2795=CARTESIAN_POINT('Ctrl Pts',(1.11356323603592,-1.84372569200076,0.566908673625698)); +#2796=CARTESIAN_POINT('Ctrl Pts',(1.11223560110995,-1.83992833894036,0.574106066609469)); +#2797=CARTESIAN_POINT('Ctrl Pts',(1.11190000000003,-1.83774806632453,0.577549766973709)); +#2798=CARTESIAN_POINT('Ctrl Pts',(1.1119000000001,-1.83528691039219,0.580817869281792)); +#2799=CARTESIAN_POINT('',(1.11190003136854,-1.93673652956793,0.657217965938103)); +#2800=CARTESIAN_POINT('',(1.11190009410573,-1.93673652956219,0.657217965945817)); +#2801=CARTESIAN_POINT('Ctrl Pts',(1.11189999999997,-1.93673652956797,0.657217965938135)); +#2802=CARTESIAN_POINT('Ctrl Pts',(1.11189999999921,-1.94269645701764,0.649303939698401)); +#2803=CARTESIAN_POINT('Ctrl Pts',(1.11217251405546,-1.94808196911834,0.640948363324734)); +#2804=CARTESIAN_POINT('Ctrl Pts',(1.1127241243353,-1.95284551126776,0.632210054617997)); +#2805=CARTESIAN_POINT('Ctrl Pts',(1.11298148662609,-1.95506801538829,0.628133061805079)); +#2806=CARTESIAN_POINT('Ctrl Pts',(1.11329983280206,-1.9571544430882,0.623972711013481)); +#2807=CARTESIAN_POINT('Ctrl Pts',(1.1136810383604,-1.95909625819838,0.619735482785907)); +#2808=CARTESIAN_POINT('Ctrl Pts',(1.11431885645326,-1.96234522659135,0.612645919771885)); +#2809=CARTESIAN_POINT('Ctrl Pts',(1.11513355648559,-1.96520585761062,0.605341985530365)); +#2810=CARTESIAN_POINT('Ctrl Pts',(1.11613093292943,-1.96762747644914,0.597907289709352)); +#2811=CARTESIAN_POINT('Ctrl Pts',(1.11700730102232,-1.96975528836644,0.591374620687388)); +#2812=CARTESIAN_POINT('Ctrl Pts',(1.11802467873384,-1.97154400637841,0.584741219181867)); +#2813=CARTESIAN_POINT('Ctrl Pts',(1.11918309398473,-1.97299584174118,0.578067703405312)); +#2814=CARTESIAN_POINT('Ctrl Pts',(1.1208240539454,-1.97505244769312,0.568614295244602)); +#2815=CARTESIAN_POINT('Ctrl Pts',(1.1227451522097,-1.97640786779938,0.559079282428666)); +#2816=CARTESIAN_POINT('Ctrl Pts',(1.12497300464824,-1.97709334688735,0.54954127087701)); +#2817=CARTESIAN_POINT('Ctrl Pts',(1.12610776241321,-1.97744249600707,0.544683079875023)); +#2818=CARTESIAN_POINT('Ctrl Pts',(1.12732241239122,-1.97761713887335,0.539824283992918)); +#2819=CARTESIAN_POINT('Ctrl Pts',(1.12862237310343,-1.97761713887335,0.534977811305673)); +#2820=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887322,0.53497781130571)); +#2821=CARTESIAN_POINT('',(1.11530675328879,-1.89385509665861,0.699370068544532)); +#2822=CARTESIAN_POINT('Ctrl Pts',(1.11530670742188,-1.89385509247385,0.699370071585036)); +#2823=CARTESIAN_POINT('Ctrl Pts',(1.11417634827829,-1.90196387030828,0.69347870036658)); +#2824=CARTESIAN_POINT('Ctrl Pts',(1.11332422834968,-1.909646328141,0.686980143421972)); +#2825=CARTESIAN_POINT('Ctrl Pts',(1.11218344597004,-1.92401257876977,0.672864924705827)); +#2826=CARTESIAN_POINT('Ctrl Pts',(1.11189690782198,-1.93066881828368,0.665275115420848)); +#2827=CARTESIAN_POINT('Ctrl Pts',(1.11189999999992,-1.93673652957371,0.657217965930416)); +#2828=CARTESIAN_POINT('',(0.8833,-1.89385510213921,0.69937006456271)); +#2829=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887312,0.53497781130571)); +#2830=CARTESIAN_POINT('',(1.11530670607431,-1.81920637509815,0.596624906276958)); +#2831=CARTESIAN_POINT('',(1.11530670607431,-1.81920637509813,0.596624906277213)); +#2832=CARTESIAN_POINT('Ctrl Pts',(1.11189999999996,-1.83528691039219,0.580817869281792)); +#2833=CARTESIAN_POINT('Ctrl Pts',(1.11189977691747,-1.83299677154719,0.58385888263119)); +#2834=CARTESIAN_POINT('Ctrl Pts',(1.11218704457715,-1.83049045365137,0.586711703294685)); +#2835=CARTESIAN_POINT('Ctrl Pts',(1.11332850370392,-1.82507937010185,0.5920248283252)); +#2836=CARTESIAN_POINT('Ctrl Pts',(1.11418501588534,-1.82219701414984,0.594452079820125)); +#2837=CARTESIAN_POINT('Ctrl Pts',(1.11530670607435,-1.81920637509823,0.596624906277052)); +#2838=CARTESIAN_POINT('Ctrl Pts',(1.11211838768972,-1.95363126897922,0.650267016194963)); +#2839=CARTESIAN_POINT('Ctrl Pts',(1.11211606356178,-1.91249759011649,0.623796465728245)); +#2840=CARTESIAN_POINT('Ctrl Pts',(1.11211373943358,-1.87136391125379,0.597325915261781)); +#2841=CARTESIAN_POINT('Ctrl Pts',(1.11211141530564,-1.83023023239108,0.570855364795063)); +#2842=CARTESIAN_POINT('Ctrl Pts',(1.11197475226756,-1.95078476046877,0.654668499302825)); +#2843=CARTESIAN_POINT('Ctrl Pts',(1.11197328836092,-1.91030557301384,0.627191359695365)); +#2844=CARTESIAN_POINT('Ctrl Pts',(1.11197182445401,-1.86982638555889,0.599714220087904)); +#2845=CARTESIAN_POINT('Ctrl Pts',(1.11197036054737,-1.82934719810394,0.572237080480699)); +#2846=CARTESIAN_POINT('Ctrl Pts',(1.11160699974526,-1.93565532711934,0.676297044201351)); +#2847=CARTESIAN_POINT('Ctrl Pts',(1.11161014342528,-1.89864731157575,0.643870633663903)); +#2848=CARTESIAN_POINT('Ctrl Pts',(1.1116132871053,-1.86163929603214,0.611444223126454)); +#2849=CARTESIAN_POINT('Ctrl Pts',(1.11161643078533,-1.82463128048855,0.57901781258926)); +#2850=CARTESIAN_POINT('Ctrl Pts',(1.11332566896933,-1.9129714209292,0.69859524604885)); +#2851=CARTESIAN_POINT('Ctrl Pts',(1.11332319885057,-1.88115243792798,0.661054977516381)); +#2852=CARTESIAN_POINT('Ctrl Pts',(1.11332072873207,-1.84933345492678,0.623514708984164)); +#2853=CARTESIAN_POINT('Ctrl Pts',(1.11331825861331,-1.81751447192558,0.585974440451695)); +#2854=CARTESIAN_POINT('Ctrl Pts',(1.11645973067859,-1.89136246929289,0.713157167851034)); +#2855=CARTESIAN_POINT('Ctrl Pts',(1.11646079295815,-1.8645296683033,0.672253967583684)); +#2856=CARTESIAN_POINT('Ctrl Pts',(1.11646185523797,-1.83769686731372,0.631350767316081)); +#2857=CARTESIAN_POINT('Ctrl Pts',(1.11646291751753,-1.8108640663241,0.59044756704873)); +#2858=CARTESIAN_POINT('Ctrl Pts',(1.11713008767823,-1.88707747224979,0.715826626501947)); +#2859=CARTESIAN_POINT('Ctrl Pts',(1.11713284816852,-1.86123504463762,0.67430533610324)); +#2860=CARTESIAN_POINT('Ctrl Pts',(1.11713560865881,-1.83539261702545,0.632784045704534)); +#2861=CARTESIAN_POINT('Ctrl Pts',(1.11713836914884,-1.80955018941328,0.591262755306083)); +#2862=CARTESIAN_POINT('',(1.11530674914034,-1.84253597253531,0.628735342401558)); +#2863=CARTESIAN_POINT('',(1.11530684387464,-1.89385510213921,0.69937006456271)); +#2864=CARTESIAN_POINT('',(1.11530684387464,-1.89385510213921,0.69937006456271)); +#2865=CARTESIAN_POINT('Origin',(1.2897,-1.88455379613736,0.62763754236764)); +#2866=CARTESIAN_POINT('',(1.15583843931472,-1.75255742681531,0.645048201651155)); +#2867=CARTESIAN_POINT('',(1.42356156068528,-1.75255742681531,0.645048201651155)); +#2868=CARTESIAN_POINT('Origin',(1.2897,-1.75255742681531,0.445960126064954)); +#2869=CARTESIAN_POINT('Origin',(1.2897,-1.8472294326169,0.576264963225019)); +#2870=CARTESIAN_POINT('',(1.46409333780818,-1.84253614084309,0.628735574057438)); +#2871=CARTESIAN_POINT('',(1.46409329392569,-1.81920637509813,0.596624906277213)); +#2872=CARTESIAN_POINT('',(1.46409329392569,-1.81920637509813,0.596624906277213)); +#2873=CARTESIAN_POINT('Origin',(1.2897,-1.92187815965796,0.679010121510517)); +#2874=CARTESIAN_POINT('Origin',(1.2897,-1.8472294326169,0.576264963225019)); +#2875=CARTESIAN_POINT('Origin',(0.0196999999999997,-1.75255742681531,2.75394257318468)); +#2876=CARTESIAN_POINT('',(-2.3933,-1.75255742681532,0.213942573184685)); +#2877=CARTESIAN_POINT('',(2.4327,-1.75255742681531,0.213942573184685)); +#2878=CARTESIAN_POINT('',(-2.3933,-1.75255742681532,0.213942573184685)); +#2879=CARTESIAN_POINT('',(2.4327,-1.75255742681531,5.29394257318469)); +#2880=CARTESIAN_POINT('',(2.4327,-1.75255742681531,5.29394257318469)); +#2881=CARTESIAN_POINT('',(-2.3933,-1.75255742681531,5.29394257318469)); +#2882=CARTESIAN_POINT('',(-2.3933,-1.75255742681531,5.29394257318469)); +#2883=CARTESIAN_POINT('',(-2.3933,-1.75255742681531,5.29394257318469)); +#2884=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); +#2885=CARTESIAN_POINT('',(1.42356156068528,-1.75255742681531,0.645048201651408)); +#2886=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); +#2887=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); +#2888=CARTESIAN_POINT('',(-1.11643843931472,-1.75255742681532,0.645048201651155)); +#2889=CARTESIAN_POINT('',(-1.11643843931472,-1.75255742681532,0.645048201651408)); +#2890=CARTESIAN_POINT('',(-1.38416156068528,-1.75255742681532,0.645048201651408)); +#2891=CARTESIAN_POINT('Origin',(-1.2503,-1.75255742681532,0.445960126064954)); +#2892=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); +#2893=CARTESIAN_POINT('Origin',(0.0196999999999992,0.0127425731846859,0.213942573184684)); +#2894=CARTESIAN_POINT('',(-2.3933,1.77804257318468,0.213942573184684)); +#2895=CARTESIAN_POINT('',(2.4327,1.77804257318469,0.213942573184684)); +#2896=CARTESIAN_POINT('',(-2.3933,1.77804257318468,0.213942573184684)); +#2897=CARTESIAN_POINT('',(2.4327,-1.75255742681531,0.213942573184685)); +#2898=CARTESIAN_POINT('',(-2.3933,1.77804257318468,0.213942573184684)); +#2899=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77804257318469,2.75394257318468)); +#2900=CARTESIAN_POINT('',(-2.3933,1.77804257318469,5.29394257318468)); +#2901=CARTESIAN_POINT('',(-2.3933,1.77804257318469,5.29394257318468)); +#2902=CARTESIAN_POINT('',(2.4327,1.77804257318469,5.29394257318468)); +#2903=CARTESIAN_POINT('',(2.4327,1.77804257318469,5.29394257318468)); +#2904=CARTESIAN_POINT('',(2.4327,1.77804257318469,0.213942573184684)); +#2905=CARTESIAN_POINT('',(0.301556914327028,1.77805178010505,0.70921031080281)); +#2906=CARTESIAN_POINT('',(0.302469463427897,1.77804257272811,0.58224257321825)); +#2907=CARTESIAN_POINT('Ctrl Pts',(0.301554761209689,1.77804257318469,0.709210304835975)); +#2908=CARTESIAN_POINT('Ctrl Pts',(0.301611956721688,1.77804257318469,0.688571446676627)); +#2909=CARTESIAN_POINT('Ctrl Pts',(0.301694427738886,1.77804257323418,0.665010105946675)); +#2910=CARTESIAN_POINT('Ctrl Pts',(0.301923199230015,1.77804257323418,0.626431838787887)); +#2911=CARTESIAN_POINT('Ctrl Pts',(0.30210973366413,1.77804257318469,0.601466320893973)); +#2912=CARTESIAN_POINT('Ctrl Pts',(0.302469464099654,1.77804257318469,0.582242573230813)); +#2913=CARTESIAN_POINT('',(-0.263069463414501,1.77804257271975,0.582242573218864)); +#2914=CARTESIAN_POINT('',(0.0197001144537962,1.77804257318469,0.582242573184683)); +#2915=CARTESIAN_POINT('',(-0.262156000953228,1.77804787539649,0.709210416991327)); +#2916=CARTESIAN_POINT('Ctrl Pts',(-0.263069464098558,1.77804257318469,0.582242573231664)); +#2917=CARTESIAN_POINT('Ctrl Pts',(-0.262706953061755,1.77804257318469,0.601614914333065)); +#2918=CARTESIAN_POINT('Ctrl Pts',(-0.262519623813836,1.77804257322631,0.626889273698389)); +#2919=CARTESIAN_POINT('Ctrl Pts',(-0.262292999198951,1.77804257322631,0.66542865154669)); +#2920=CARTESIAN_POINT('Ctrl Pts',(-0.262211510203278,1.77804257318469,0.688732549990208)); +#2921=CARTESIAN_POINT('Ctrl Pts',(-0.262154760907952,1.77804257318469,0.70921041355485)); +#2922=CARTESIAN_POINT('',(-0.262259156427888,1.77804257318469,0.709210560312972)); +#2923=CARTESIAN_POINT('',(-0.488300000000001,1.77804257318468,0.582157164385982)); +#2924=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318468,0.582157164385982)); +#2925=CARTESIAN_POINT('',(-0.488300000000001,1.77804257318468,0.226642573184684)); +#2926=CARTESIAN_POINT('',(-0.488300000000001,1.77804257318468,0.226642573184684)); +#2927=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.226642573184684)); +#2928=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.226642573184684)); +#2929=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.582157164385982)); +#2930=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.582157164385982)); +#2931=CARTESIAN_POINT('',(0.654699999999999,1.77804257318469,0.582157164385982)); +#2932=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.582157164385982)); +#2933=CARTESIAN_POINT('',(0.654699999999999,1.77804257318469,0.709210560312972)); +#2934=CARTESIAN_POINT('',(0.654699999999999,1.77804257318469,0.709210560312972)); +#2935=CARTESIAN_POINT('',(0.0196999999999992,1.77804257318469,0.709210560312895)); +#2936=CARTESIAN_POINT('Origin',(2.4327,0.0127425731846859,2.75394257318468)); +#2937=CARTESIAN_POINT('',(2.4327,1.77804257318469,5.29394257318468)); +#2938=CARTESIAN_POINT('Origin',(0.0196999999999992,0.012742573184687,5.29394257318468)); +#2939=CARTESIAN_POINT('',(-2.3933,1.77804257318469,5.29394257318468)); +#2940=CARTESIAN_POINT('',(1.16246386572652,1.47163869805107,5.29394257318468)); +#2941=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.29394257318468)); +#2942=CARTESIAN_POINT('Origin',(-2.3933,0.0127425731846853,2.75394257318468)); +#2943=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.88754257318468)); +#2944=CARTESIAN_POINT('',(1.16246386572652,1.47163869805107,4.55717568750804)); +#2945=CARTESIAN_POINT('',(1.16246386572642,1.47163869805092,4.88754257318468)); +#2946=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.55717568750804)); +#2947=CARTESIAN_POINT('Origin',(2.11978935611032,-1.75255742681531,4.55717568750804)); +#2948=CARTESIAN_POINT('',(1.97399636706239,0.708288949066039,4.55717568750804)); +#2949=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.55717568750804)); +#2950=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.86214257318468)); +#2951=CARTESIAN_POINT('',(1.97399636706234,0.708288949065989,5.16694442741031)); +#2952=CARTESIAN_POINT('',(1.97399636706234,0.708288949065989,4.86214257318468)); +#2953=CARTESIAN_POINT('',(1.49290000022413,-0.0484859416824329,5.16694257424254)); +#2954=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.16694257318503)); +#2955=CARTESIAN_POINT('',(1.49290000026836,-0.0484859418583156,4.73514257318468)); +#2956=CARTESIAN_POINT('',(1.4929,-0.048485942252518,4.86214257318468)); +#2957=CARTESIAN_POINT('',(0.934099999511573,-0.0484859415350419,4.73514257318468)); +#2958=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.73514257318468)); +#2959=CARTESIAN_POINT('',(0.934095783631593,-0.048482948645388,5.16694442741032)); +#2960=CARTESIAN_POINT('',(0.934099999999999,-0.0484859422525191,4.86214257318468)); +#2961=CARTESIAN_POINT('',(0.934099324158085,1.36936917224735,5.16694404039554)); +#2962=CARTESIAN_POINT('Origin',(1.2135,0.66044257318494,5.16694394311565)); +#2963=CARTESIAN_POINT('',(0.934099466913813,1.3693706949001,4.73514257318473)); +#2964=CARTESIAN_POINT('',(0.934098970198655,1.36937049920967,4.73514257318468)); +#2965=CARTESIAN_POINT('',(1.49290053304314,1.36937069496349,4.73514257318468)); +#2966=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.73514257318468)); +#2967=CARTESIAN_POINT('',(1.4929006604615,1.36936916335702,5.16694440450027)); +#2968=CARTESIAN_POINT('',(1.49290111265183,1.36936969370455,5.16694387189202)); +#2969=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.16694257318503)); +#2970=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.20504431098334)); +#2971=CARTESIAN_POINT('',(0.934095874633337,0.0341442106735662,5.24314460776092)); +#2972=CARTESIAN_POINT('',(0.934095872561777,1.28674093365568,5.24314460816454)); +#2973=CARTESIAN_POINT('Origin',(1.2135,0.660442573184433,5.24314471712045)); +#2974=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,1.28674269532689,5.24314653718722)); +#2975=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,1.32588155256259,5.20740315317794)); +#2976=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,1.36936932968575,5.16694420962004)); +#2977=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,-0.04848341287438,5.16694492639259)); +#2978=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,-0.00499606134972408, +5.20740346808365)); +#2979=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,0.034142449732994,5.24314653598363)); +#2980=CARTESIAN_POINT('Origin',(0.730900577706997,0.66044257318494,5.24314257318468)); +#2981=CARTESIAN_POINT('',(0.934099999999999,0.660442643167783,5.24314257318468)); +#2982=CARTESIAN_POINT('Origin',(0.934099999999999,0.660442713150625,4.98914321494159)); +#2983=CARTESIAN_POINT('',(0.934099999999999,0.660442643167655,4.73514257318468)); +#2984=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.73514257318468)); +#2985=CARTESIAN_POINT('',(1.4929,0.660442503170095,4.73514257318468)); +#2986=CARTESIAN_POINT('Origin',(1.4929,0.660442433155503,4.98914321486311)); +#2987=CARTESIAN_POINT('',(1.49290418099728,0.0341442658270025,5.24314461863498)); +#2988=CARTESIAN_POINT('Ctrl Pts',(1.4929,-0.0484859414171518,5.16694257395737)); +#2989=CARTESIAN_POINT('Ctrl Pts',(1.4929,-0.00499717583210435,5.20740245062384)); +#2990=CARTESIAN_POINT('Ctrl Pts',(1.4929,0.0341424852201638,5.24314656839213)); +#2991=CARTESIAN_POINT('',(1.49290116396668,1.28674361764721,5.24314323299126)); +#2992=CARTESIAN_POINT('',(1.4929,0.660442503170222,5.24314257318468)); +#2993=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.28674342680752,5.2431430240227)); +#2994=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.28925664923318,5.24084783389791)); +#2995=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.29177631596771,5.23854462712003)); +#2996=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.29430230819208,5.23623347270035)); +#2997=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.30076714799089,5.23031847306994)); +#2998=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.30727341317587,5.2243514245524)); +#2999=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.31381905391895,5.21833355616073)); +#3000=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.32292202944233,5.20996454985218)); +#3001=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.33210115833829,5.20149725656066)); +#3002=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.34135896778555,5.19293223276499)); +#3003=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.35061659702173,5.18436737569475)); +#3004=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.35995290374607,5.17570479192514)); +#3005=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.36936914380855,5.16694438348834)); +#3006=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.2050446296619)); +#3007=CARTESIAN_POINT('Origin',(1.2135,0.660442573184433,5.24314471724618)); +#3008=CARTESIAN_POINT('Origin',(1.696099422293,0.66044257318494,5.24314257318468)); +#3009=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.77142304818906,0.572414219311332)); +#3010=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.76920488133509,0.621281402965643)); +#3011=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.76698671447857,0.670148586619955)); +#3012=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.76476854762205,0.719015770274267)); +#3013=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.77281581220059,0.572482509218693)); +#3014=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.77162309007499,0.621399972406939)); +#3015=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.77043036794939,0.670317435595185)); +#3016=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.76923764582379,0.719234898783431)); +#3017=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.78838879561132,0.572710785090055)); +#3018=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.79866193104165,0.62179632003182)); +#3019=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.80893506647451,0.67088185497333)); +#3020=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.81920820190737,0.719967389915094)); +#3021=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.80587914280988,0.566625805431236)); +#3022=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.82902982548734,0.611231176991968)); +#3023=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.85218050816481,0.655836548552446)); +#3024=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.87533119084227,0.700441920112923)); +#3025=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.81815532261359,0.556094923771679)); +#3026=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.85034453858301,0.592946765652812)); +#3027=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.88253375455243,0.629798607533944)); +#3028=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.91472297052185,0.666650449415076)); +#3029=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.81920077037536,0.555132969310274)); +#3030=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.85215971394427,0.59127655686776)); +#3031=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.88511865751317,0.627420144425245)); +#3032=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.91807760108207,0.663563731982986)); +#3033=CARTESIAN_POINT('',(0.311799999999999,1.90145717899659,0.664648381607434)); +#3034=CARTESIAN_POINT('Ctrl Pts',(0.311800000000014,1.90145717899659,0.664648381607434)); +#3035=CARTESIAN_POINT('Ctrl Pts',(0.311799999999979,1.89193463749355,0.672274625693786)); +#3036=CARTESIAN_POINT('Ctrl Pts',(0.311546698201104,1.88172942150408,0.679034930096661)); +#3037=CARTESIAN_POINT('Ctrl Pts',(0.311040450659568,1.87100325259298,0.684829594610288)); +#3038=CARTESIAN_POINT('Ctrl Pts',(0.310929567939498,1.86865391420176,0.686098792207212)); +#3039=CARTESIAN_POINT('Ctrl Pts',(0.310806549351881,1.86627974355733,0.687321545820016)); +#3040=CARTESIAN_POINT('Ctrl Pts',(0.310671396691178,1.86388272373629,0.68849663051699)); +#3041=CARTESIAN_POINT('Ctrl Pts',(0.309919189342693,1.85054184006841,0.695036696687749)); +#3042=CARTESIAN_POINT('Ctrl Pts',(0.308791676677494,1.83648333247626,0.700105328990801)); +#3043=CARTESIAN_POINT('Ctrl Pts',(0.30727901434653,1.82206263171389,0.703582246026974)); +#3044=CARTESIAN_POINT('Ctrl Pts',(0.305992905189713,1.80980173586255,0.706538421206445)); +#3045=CARTESIAN_POINT('Ctrl Pts',(0.304428161452842,1.79728146911781,0.70834376600018)); +#3046=CARTESIAN_POINT('Ctrl Pts',(0.30256909540292,1.78471475582652,0.708981959368142)); +#3047=CARTESIAN_POINT('Ctrl Pts',(0.302241613624002,1.78250107997355,0.709094379633922)); +#3048=CARTESIAN_POINT('Ctrl Pts',(0.301904977351718,1.7802858729428,0.709170490624915)); +#3049=CARTESIAN_POINT('Ctrl Pts',(0.30155907304425,1.77807019394578,0.709210066512411)); +#3050=CARTESIAN_POINT('',(0.311799999999999,1.83546147721888,0.582242573184684)); +#3051=CARTESIAN_POINT('',(0.311799999999999,1.83546147721888,0.582242573184684)); +#3052=CARTESIAN_POINT('Ctrl Pts',(0.311800000000013,1.83546147721888,0.582242573184684)); +#3053=CARTESIAN_POINT('Ctrl Pts',(0.311800000000034,1.82571404540946,0.582242573184684)); +#3054=CARTESIAN_POINT('Ctrl Pts',(0.311373141764323,1.81565768198619,0.582242573185011)); +#3055=CARTESIAN_POINT('Ctrl Pts',(0.308457327272974,1.7961621481662,0.582242573185011)); +#3056=CARTESIAN_POINT('Ctrl Pts',(0.306082196817724,1.78718083903984,0.582242573184684)); +#3057=CARTESIAN_POINT('Ctrl Pts',(0.302469463853496,1.77804257255986,0.582242573184684)); +#3058=CARTESIAN_POINT('Origin',(0.0197002289075932,1.81433917318469,0.582242573184684)); +#3059=CARTESIAN_POINT('',(0.311516980244983,1.85063577318469,0.582242573184684)); +#3060=CARTESIAN_POINT('Ctrl Pts',(0.311516980245038,1.85063577318469,0.582242573184682)); +#3061=CARTESIAN_POINT('Ctrl Pts',(0.311574745185713,1.84892273923967,0.58224257318468)); +#3062=CARTESIAN_POINT('Ctrl Pts',(0.311625321433442,1.84721877660554,0.582242572747131)); +#3063=CARTESIAN_POINT('Ctrl Pts',(0.3116671770945,1.845524380796,0.58224257289534)); +#3064=CARTESIAN_POINT('Ctrl Pts',(0.311709032755556,1.84382998498646,0.582242573043549)); +#3065=CARTESIAN_POINT('Ctrl Pts',(0.311742175751122,1.84214515643548,0.582242573336497)); +#3066=CARTESIAN_POINT('Ctrl Pts',(0.311764919917542,1.84046819504987,0.582242573296152)); +#3067=CARTESIAN_POINT('Ctrl Pts',(0.311787664083962,1.83879123366426,0.582242573255807)); +#3068=CARTESIAN_POINT('Ctrl Pts',(0.311800000000038,1.83712213911219,0.582242573184684)); +#3069=CARTESIAN_POINT('Ctrl Pts',(0.311800000000015,1.83546147721888,0.582242573184684)); +#3070=CARTESIAN_POINT('',(-0.272116980244896,1.85063577318469,0.582242573184684)); +#3071=CARTESIAN_POINT('',(-0.272116980244896,1.85063577318469,0.582242573184684)); +#3072=CARTESIAN_POINT('',(-0.272400000000001,1.83546147721888,0.582242573184938)); +#3073=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000001,1.83546147721888,0.582242573184938)); +#3074=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000002,1.83712553566623,0.582242573184938)); +#3075=CARTESIAN_POINT('Ctrl Pts',(-0.272387614786349,1.83879689149164,0.582242573243016)); +#3076=CARTESIAN_POINT('Ctrl Pts',(-0.272364828967385,1.84047489687058,0.58224257327695)); +#3077=CARTESIAN_POINT('Ctrl Pts',(-0.272342043148422,1.84215290224952,0.582242573310883)); +#3078=CARTESIAN_POINT('Ctrl Pts',(-0.272308867129476,1.84383755750827,0.582242573023979)); +#3079=CARTESIAN_POINT('Ctrl Pts',(-0.272267018265175,1.84553080883119,0.582242572873749)); +#3080=CARTESIAN_POINT('Ctrl Pts',(-0.272225169400873,1.84722406015411,0.582242572723519)); +#3081=CARTESIAN_POINT('Ctrl Pts',(-0.272174638363628,1.84892590707179,0.582242573184683)); +#3082=CARTESIAN_POINT('Ctrl Pts',(-0.272116980244903,1.85063577318469,0.582242573184683)); +#3083=CARTESIAN_POINT('Ctrl Pts',(-0.263069463847893,1.77804257254841,0.582242573184684)); +#3084=CARTESIAN_POINT('Ctrl Pts',(-0.266673005669242,1.78715759038827,0.582242573184684)); +#3085=CARTESIAN_POINT('Ctrl Pts',(-0.269045698308777,1.79611748741736,0.582242573185502)); +#3086=CARTESIAN_POINT('Ctrl Pts',(-0.271971158049023,1.81561102475388,0.582242573185502)); +#3087=CARTESIAN_POINT('Ctrl Pts',(-0.27240000000005,1.82569142312062,0.582242573184684)); +#3088=CARTESIAN_POINT('Ctrl Pts',(-0.272399999999999,1.83546147721888,0.582242573184684)); +#3089=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.81919557361157,0.55513775040155)); +#3090=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.85215102619176,0.591285225794288)); +#3091=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.88510647876942,0.627432701187026)); +#3092=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.91806193134962,0.663580176579763)); +#3093=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.81815175146248,0.556097986956054)); +#3094=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.85033866550864,0.592952459027252)); +#3095=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.88252557955481,0.62980693109845)); +#3096=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.91471249360097,0.666661403169647)); +#3097=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.80587539517451,0.566627830100796)); +#3098=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.82902355404954,0.611235146087916)); +#3099=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.85217171292459,0.655842462074781)); +#3100=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.87531987179963,0.700449778061902)); +#3101=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.78839206377852,0.572709583255019)); +#3102=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.7986677099709,0.621794732604464)); +#3103=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.80894335616329,0.670879881953908)); +#3104=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.81921900235566,0.719965031303352)); +#3105=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.77282401633708,0.572482568071256)); +#3106=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.77163732256367,0.621400572168503)); +#3107=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.77045062879025,0.670318576265751)); +#3108=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.76926393501937,0.719236580363)); +#3109=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.77143794379554,0.572414947772155)); +#3110=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.7692307216136,0.621283164838675)); +#3111=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.7670234994342,0.670151381905195)); +#3112=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.76481627725226,0.719019598971715)); +#3113=CARTESIAN_POINT('',(-0.272400000000001,1.90145717899659,0.664648381607687)); +#3114=CARTESIAN_POINT('',(-0.272400000000001,1.83546147721888,0.582242573184938)); +#3115=CARTESIAN_POINT('Ctrl Pts',(-0.272399999999999,1.90145717899659,0.664648381607687)); +#3116=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000005,1.89206945169566,0.672166658089046)); +#3117=CARTESIAN_POINT('Ctrl Pts',(-0.272153819739772,1.88200797310577,0.67885171675882)); +#3118=CARTESIAN_POINT('Ctrl Pts',(-0.271660183408212,1.87142385796346,0.684601803122398)); +#3119=CARTESIAN_POINT('Ctrl Pts',(-0.271545877779446,1.86897301741765,0.685933283800029)); +#3120=CARTESIAN_POINT('Ctrl Pts',(-0.271418302471934,1.86649433277446,0.687214502706445)); +#3121=CARTESIAN_POINT('Ctrl Pts',(-0.271277439371688,1.8639900224779,0.688443988841373)); +#3122=CARTESIAN_POINT('Ctrl Pts',(-0.270528249762867,1.85067068442437,0.69498309123843)); +#3123=CARTESIAN_POINT('Ctrl Pts',(-0.269403806771517,1.83661680445472,0.700064046432345)); +#3124=CARTESIAN_POINT('Ctrl Pts',(-0.267891136091713,1.82217826362428,0.703554331332183)); +#3125=CARTESIAN_POINT('Ctrl Pts',(-0.266611102581474,1.80996025983225,0.706507837136007)); +#3126=CARTESIAN_POINT('Ctrl Pts',(-0.265052796383967,1.7974683340948,0.708321670642889)); +#3127=CARTESIAN_POINT('Ctrl Pts',(-0.26319807726175,1.78491081475188,0.708971765984458)); +#3128=CARTESIAN_POINT('Ctrl Pts',(-0.262860994987359,1.78262857312827,0.709089916282087)); +#3129=CARTESIAN_POINT('Ctrl Pts',(-0.262514097752737,1.78034407870351,0.709169521925862)); +#3130=CARTESIAN_POINT('Ctrl Pts',(-0.262157244184923,1.77805847982009,0.709210276679931)); +#3131=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.5060425731857)); +#3132=CARTESIAN_POINT('',(-0.253090003543058,1.97763577318468,0.506042573184683)); +#3133=CARTESIAN_POINT('Ctrl Pts',(-0.253090003543021,1.97763577318359,0.506042573184691)); +#3134=CARTESIAN_POINT('Ctrl Pts',(-0.256353365350437,1.97763577318367,0.520893424808609)); +#3135=CARTESIAN_POINT('Ctrl Pts',(-0.259166004459563,1.97600674581476,0.535786695961815)); +#3136=CARTESIAN_POINT('Ctrl Pts',(-0.264029097698391,1.96939463753005,0.565321525474807)); +#3137=CARTESIAN_POINT('Ctrl Pts',(-0.266048352053757,1.964381701958,0.579845752848028)); +#3138=CARTESIAN_POINT('Ctrl Pts',(-0.26924502797691,1.95121883317357,0.607370368421397)); +#3139=CARTESIAN_POINT('Ctrl Pts',(-0.270422444729511,1.94316295513992,0.62029044187588)); +#3140=CARTESIAN_POINT('Ctrl Pts',(-0.27200493330281,1.92422990842935,0.64419347092658)); +#3141=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000006,1.91340603049215,0.655078997422209)); +#3142=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000001,1.90145717899648,0.664648381607558)); +#3143=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318468,0.506042573184683)); +#3144=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.506042573184683)); +#3145=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.506042573184683)); +#3146=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.506042573184683)); +#3147=CARTESIAN_POINT('Origin',(-0.488300000000001,1.77443577318468,0.506042573184683)); +#3148=CARTESIAN_POINT('Origin',(-0.488300000000001,1.81433917318468,0.404399868784444)); +#3149=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.226642573184684)); +#3150=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.506042573184683)); +#3151=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.226642573184684)); +#3152=CARTESIAN_POINT('Origin',(0.0196999999999992,1.85063577318469,0.359992573184684)); +#3153=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.226642573184684)); +#3154=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.226642573184684)); +#3155=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); +#3156=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.506042573184683)); +#3157=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); +#3158=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.506042573184683)); +#3159=CARTESIAN_POINT('Origin',(0.0196999999999992,1.81433917318469,0.226642573184684)); +#3160=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.226642573184684)); +#3161=CARTESIAN_POINT('Origin',(0.527699999999999,1.81433917318469,0.404399868784444)); +#3162=CARTESIAN_POINT('Origin',(0.527699999999999,1.77443577318469,0.506042573184683)); +#3163=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.506042573184683)); +#3164=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.506042573184683)); +#3165=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.5060425731857)); +#3166=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.5060425731857)); +#3167=CARTESIAN_POINT('',(0.292490003543107,1.97763577318468,0.50604257318443)); +#3168=CARTESIAN_POINT('',(0.292490003543107,1.97763577318468,0.50604257318443)); +#3169=CARTESIAN_POINT('Ctrl Pts',(0.311800000000015,1.90145717899661,0.664648381607459)); +#3170=CARTESIAN_POINT('Ctrl Pts',(0.311800000000136,1.91340598474094,0.655079034062613)); +#3171=CARTESIAN_POINT('Ctrl Pts',(0.311404936307287,1.92422982570881,0.64419355415865)); +#3172=CARTESIAN_POINT('Ctrl Pts',(0.309822459846215,1.94316282080596,0.620290632561106)); +#3173=CARTESIAN_POINT('Ctrl Pts',(0.308645052079375,1.95121868475686,0.607370618810894)); +#3174=CARTESIAN_POINT('Ctrl Pts',(0.305448388563254,1.96438160151595,0.579846023722821)); +#3175=CARTESIAN_POINT('Ctrl Pts',(0.303429131260632,1.969394574972,0.565321746320909)); +#3176=CARTESIAN_POINT('Ctrl Pts',(0.298566025819497,1.97600673342663,0.535786808946256)); +#3177=CARTESIAN_POINT('Ctrl Pts',(0.295753377750799,1.97763577318368,0.520893481240269)); +#3178=CARTESIAN_POINT('Ctrl Pts',(0.292490003542997,1.97763577318359,0.506042573184455)); +#3179=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.81253638769958,0.560362861999312)); +#3180=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.84061754676449,0.600398349358454)); +#3181=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.86869870583193,0.640433836717595)); +#3182=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.89677986489683,0.680469324076737)); +#3183=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.81369032754309,0.559558078023666)); +#3184=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.84262197074903,0.599000418339996)); +#3185=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.87155361395243,0.638442758656326)); +#3186=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.90048525715837,0.677885098972655)); +#3187=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.82293102444646,0.552641359968128)); +#3188=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.85867330530111,0.586985896418872)); +#3189=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.89441558615574,0.62133043286987)); +#3190=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.93015786700785,0.655674969320614)); +#3191=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.83662609565673,0.53513019543706)); +#3192=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.88246200565351,0.556568541189442)); +#3193=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.92829791565283,0.578006886941824)); +#3194=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.97413382564961,0.59944523269446)); +#3195=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.84109290760192,0.514078504718326)); +#3196=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.8902209759623,0.520001198473118)); +#3197=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.93934904432269,0.52592389222791)); +#3198=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.98847711268561,0.531846585982702)); +#3199=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.840747312667,0.503308141945658)); +#3200=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.88962066843801,0.501292793911084)); +#3201=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.93849402420647,0.499277445876255)); +#3202=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.98736737997746,0.497262097841682)); +#3203=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.8406600481857,0.501955709239048)); +#3204=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.88946908772771,0.498943582584115)); +#3205=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.93827812727225,0.495931455929438)); +#3206=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.98708716681425,0.492919329274506)); +#3207=CARTESIAN_POINT('',(0.29249000354274,1.89106112872625,0.506042573183899)); +#3208=CARTESIAN_POINT('',(0.292490003542599,1.85063577318469,0.506042573183668)); +#3209=CARTESIAN_POINT('',(0.292490003542599,1.85063577318469,0.506042573183668)); +#3210=CARTESIAN_POINT('',(0.292490003542599,1.85063577318469,0.506042573183668)); +#3211=CARTESIAN_POINT('Ctrl Pts',(0.292490003542462,1.85063577318469,0.506042573183748)); +#3212=CARTESIAN_POINT('Ctrl Pts',(0.299152704843897,1.85063577318469,0.517412763759254)); +#3213=CARTESIAN_POINT('Ctrl Pts',(0.303811100709241,1.85063577317677,0.528660415840561)); +#3214=CARTESIAN_POINT('Ctrl Pts',(0.309703991694361,1.85063577317677,0.553715446373217)); +#3215=CARTESIAN_POINT('Ctrl Pts',(0.310998149380107,1.85063577318469,0.566856512488076)); +#3216=CARTESIAN_POINT('Ctrl Pts',(0.311516980245039,1.85063577318469,0.582242573184681)); +#3217=CARTESIAN_POINT('Origin',(0.0196999999999992,1.85063577318469,0.450313909503803)); +#3218=CARTESIAN_POINT('',(-0.253090003542931,1.85063577318469,0.50604257318443)); +#3219=CARTESIAN_POINT('Origin',(0.0196999999999992,1.85063577318469,0.610484059037387)); +#3220=CARTESIAN_POINT('Ctrl Pts',(-0.272116980244903,1.85063577318469,0.582242573184684)); +#3221=CARTESIAN_POINT('Ctrl Pts',(-0.271598779476615,1.85063577318469,0.566875198165379)); +#3222=CARTESIAN_POINT('Ctrl Pts',(-0.270306988847013,1.85063577339603,0.553745166944102)); +#3223=CARTESIAN_POINT('Ctrl Pts',(-0.264421099700378,1.85063577339603,0.528685073334563)); +#3224=CARTESIAN_POINT('Ctrl Pts',(-0.259760371314592,1.85063577318469,0.517425846925673)); +#3225=CARTESIAN_POINT('Ctrl Pts',(-0.253090003542963,1.85063577318469,0.506042573184412)); +#3226=CARTESIAN_POINT('Origin',(0.0196999999999992,1.91413577318468,0.610484059037387)); +#3227=CARTESIAN_POINT('Origin',(0.0196999999999992,1.97763577318468,0.610484059037387)); +#3228=CARTESIAN_POINT('',(-0.253090003543023,1.89106112872989,0.50604257318458)); +#3229=CARTESIAN_POINT('',(-0.253090003543058,1.97763577318468,0.506042573184683)); +#3230=CARTESIAN_POINT('',(-0.253090003543058,1.97763577318468,0.506042573184683)); +#3231=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.84066366883378,0.502012221158535)); +#3232=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.8894753768566,0.499041745283141)); +#3233=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.93828708487942,0.496071269407494)); +#3234=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.98709879290224,0.493100793531845)); +#3235=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.84074852280174,0.50334612131166)); +#3236=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.8896227704404,0.50135876507429)); +#3237=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.9384970180816,0.499371408836666)); +#3238=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.98737126572026,0.497384052599296)); +#3239=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.84108870384858,0.514098316617996)); +#3240=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.8902136739068,0.520035612262812)); +#3241=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.93933864396502,0.525972907907373)); +#3242=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.9884636140207,0.53191020355219)); +#3243=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.83662609565418,0.535130195436297)); +#3244=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.88246200562556,0.556568541175726)); +#3245=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.9282979155944,0.5780068869149)); +#3246=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.97413382556578,0.599445232654074)); +#3247=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.82293072106632,0.552641747881754)); +#3248=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.8586727783019,0.586986570214324)); +#3249=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.89441483553494,0.621331392547148)); +#3250=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.93015689277052,0.655676214879717)); +#3251=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.81368955864444,0.559558653541184)); +#3252=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.8426206351332,0.59900141800551)); +#3253=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.87155171162196,0.638444182469581)); +#3254=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.90048278811072,0.677886946933908)); +#3255=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.81253520649544,0.560363685776176)); +#3256=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.84061549496518,0.600399780256786)); +#3257=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.86869578343746,0.640435874737395)); +#3258=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.89677607190974,0.680471969217751)); +#3259=CARTESIAN_POINT('Origin',(0.0196999999999992,1.97763577318468,0.359992573184684)); +#3260=CARTESIAN_POINT('',(0.654699999999999,1.97763577318468,0.213942573184684)); +#3261=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.213942573184684)); +#3262=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.0107425731846836)); +#3263=CARTESIAN_POINT('Origin',(0.0196999999999992,1.31901705616263,0.0107425731846838)); +#3264=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.010742573184684)); +#3265=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.010742573184684)); +#3266=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.010742573184684)); +#3267=CARTESIAN_POINT('Origin',(0.0196999999999992,1.31901705616009,0.137742573184684)); +#3268=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); +#3269=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.137742573184684)); +#3270=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.137742573184683)); +#3271=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.137742573184684)); +#3272=CARTESIAN_POINT('Origin',(0.0196999999999992,0.863598339138026,0.0742425731846836)); +#3273=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.137742573184684)); +#3274=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.213942573184684)); +#3275=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); +#3276=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); +#3277=CARTESIAN_POINT('Origin',(1.2897,-1.82320626447728,0.750699449678182)); +#3278=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); +#3279=CARTESIAN_POINT('Origin',(1.55983078034264,-1.78588190095671,0.62083655396431)); +#3280=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#3281=CARTESIAN_POINT('Origin',(1.01956921965736,-1.78588190095671,0.62083655396431)); +#3282=CARTESIAN_POINT('Origin',(-1.2503,-1.82320626447728,0.750699449678182)); +#3283=CARTESIAN_POINT('',(-1.42469324703137,-1.89385509752367,0.69937006791602)); +#3284=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); +#3285=CARTESIAN_POINT('',(-1.07590665796529,-1.89385510772365,0.69937006050531)); +#3286=CARTESIAN_POINT('Origin',(-1.2503,-1.92187815965796,0.679010121510517)); +#3287=CARTESIAN_POINT('',(-1.0759065656626,-1.89385510213919,0.69937006456271)); +#3288=CARTESIAN_POINT('Origin',(-0.980169219657359,-1.78588190095671,0.62083655396431)); +#3289=CARTESIAN_POINT('',(-1.07590670607431,-1.81920637509815,0.596624906276958)); +#3290=CARTESIAN_POINT('',(-0.8439,-1.8192063750981,0.596624906277213)); +#3291=CARTESIAN_POINT('Origin',(-1.2503,-1.8472294326169,0.576264963225019)); +#3292=CARTESIAN_POINT('Origin',(-1.2503,-1.88455379613736,0.62763754236764)); +#3293=CARTESIAN_POINT('',(-1.07590666219182,-1.84253614084336,0.628735574057519)); +#3294=CARTESIAN_POINT('',(-1.07590670607431,-1.81920637509815,0.596624906276958)); +#3295=CARTESIAN_POINT('',(-1.07590670607431,-1.81920637509815,0.596624906276958)); +#3296=CARTESIAN_POINT('',(-1.42469325085966,-1.84253597253558,0.628735342401952)); +#3297=CARTESIAN_POINT('',(-1.42469315612536,-1.89385510213921,0.69937006456271)); +#3298=CARTESIAN_POINT('',(-1.42469329392569,-1.81920637509815,0.596624906276958)); +#3299=CARTESIAN_POINT('',(-1.42469315612536,-1.89385510213921,0.69937006456271)); +#3300=CARTESIAN_POINT('Origin',(-1.2503,-1.8472294326169,0.576264963225019)); +#3301=CARTESIAN_POINT('Origin',(-1.52043078034264,-1.78588190095671,0.62083655396431)); +#3302=CARTESIAN_POINT('',(-1.42469329392569,-1.81920637509813,0.596624906276958)); +#3303=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); +#3304=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.137742573184684)); +#3305=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.137742573184684)); +#3306=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.0107425731846842)); +#3307=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.0107425731846842)); +#3308=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.0107425731846846)); +#3309=CARTESIAN_POINT('Origin',(-1.2503,-1.34130000000009,0.0107425731846844)); +#3310=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.0107425731846846)); +#3311=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.0107425731846842)); +#3312=CARTESIAN_POINT('Origin',(-1.2503,-1.34130000000009,0.137742573184684)); +#3313=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.137742573184684)); +#3314=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.137742573184684)); +#3315=CARTESIAN_POINT('Origin',(-1.2503,-0.908182861126625,0.0742425731846839)); +#3316=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887417,0.213942573184685)); +#3317=CARTESIAN_POINT('',(-1.6567,-1.97761713887337,0.213942573184684)); +#3318=CARTESIAN_POINT('Origin',(-1.2503,-1.97761713887335,0.374460192245324)); +#3319=CARTESIAN_POINT('',(-0.8439,-1.97761713887335,0.53497781130571)); +#3320=CARTESIAN_POINT('',(-1.08922237310357,-1.97761713887335,0.53497781130571)); +#3321=CARTESIAN_POINT('',(-0.8439,-1.97761713887335,0.53497781130571)); +#3322=CARTESIAN_POINT('',(-1.41137762689643,-1.97761713887335,0.53497781130571)); +#3323=CARTESIAN_POINT('Origin',(-1.2503,-1.97761713887335,0.610256215336612)); +#3324=CARTESIAN_POINT('',(-1.41137762689643,-1.97761713887335,0.53497781130571)); +#3325=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887322,0.53497781130571)); +#3326=CARTESIAN_POINT('',(-1.42809996863142,-1.93673652957415,0.65721796592985)); +#3327=CARTESIAN_POINT('Ctrl Pts',(-1.42810000000003,-1.93673652957419,0.657217965929882)); +#3328=CARTESIAN_POINT('Ctrl Pts',(-1.4281000000006,-1.94269645702335,0.649303939689956)); +#3329=CARTESIAN_POINT('Ctrl Pts',(-1.42782748594417,-1.94808196912357,0.640948363316131)); +#3330=CARTESIAN_POINT('Ctrl Pts',(-1.42727587566415,-1.95284551127251,0.632210054609266)); +#3331=CARTESIAN_POINT('Ctrl Pts',(-1.42701851337345,-1.95506801539126,0.628133061799139)); +#3332=CARTESIAN_POINT('Ctrl Pts',(-1.42670016719767,-1.95715444308958,0.623972711010455)); +#3333=CARTESIAN_POINT('Ctrl Pts',(-1.4263189616396,-1.95909625819837,0.619735482785907)); +#3334=CARTESIAN_POINT('Ctrl Pts',(-1.42568114354674,-1.96234522659135,0.612645919771883)); +#3335=CARTESIAN_POINT('Ctrl Pts',(-1.42486644351441,-1.96520585761062,0.605341985530363)); +#3336=CARTESIAN_POINT('Ctrl Pts',(-1.42386906707057,-1.96762747644914,0.597907289709347)); +#3337=CARTESIAN_POINT('Ctrl Pts',(-1.42299269897767,-1.96975528836644,0.591374620687384)); +#3338=CARTESIAN_POINT('Ctrl Pts',(-1.42197532126616,-1.97154400637841,0.584741219181864)); +#3339=CARTESIAN_POINT('Ctrl Pts',(-1.42081690601527,-1.97299584174118,0.578067703405312)); +#3340=CARTESIAN_POINT('Ctrl Pts',(-1.41917594605468,-1.97505244769302,0.568614295245063)); +#3341=CARTESIAN_POINT('Ctrl Pts',(-1.41725484779048,-1.97640786779925,0.559079282429596)); +#3342=CARTESIAN_POINT('Ctrl Pts',(-1.41502699535208,-1.97709334688725,0.549541270878407)); +#3343=CARTESIAN_POINT('Ctrl Pts',(-1.413892237587,-1.97744249600704,0.544683079875957)); +#3344=CARTESIAN_POINT('Ctrl Pts',(-1.41267758760888,-1.97761713887335,0.539824283993388)); +#3345=CARTESIAN_POINT('Ctrl Pts',(-1.41137762689653,-1.97761713887335,0.534977811305683)); +#3346=CARTESIAN_POINT('Ctrl Pts',(-1.42469329270121,-1.89385509335689,0.699370070943466)); +#3347=CARTESIAN_POINT('Ctrl Pts',(-1.42582365207147,-1.90196387293158,0.693478698394092)); +#3348=CARTESIAN_POINT('Ctrl Pts',(-1.42667577210691,-1.90964633233063,0.686980139841143)); +#3349=CARTESIAN_POINT('Ctrl Pts',(-1.42781655417629,-1.92401258217284,0.672864920826599)); +#3350=CARTESIAN_POINT('Ctrl Pts',(-1.42810309217742,-1.9306688198395,0.665275113355767)); +#3351=CARTESIAN_POINT('Ctrl Pts',(-1.42810000000008,-1.93673652957993,0.657217965922164)); +#3352=CARTESIAN_POINT('Ctrl Pts',(-1.42788161231028,-1.95363126897912,0.650267016194963)); +#3353=CARTESIAN_POINT('Ctrl Pts',(-1.42788393643822,-1.91249759011644,0.623796465728245)); +#3354=CARTESIAN_POINT('Ctrl Pts',(-1.42788626056642,-1.87136391125376,0.597325915261781)); +#3355=CARTESIAN_POINT('Ctrl Pts',(-1.42788858469436,-1.83023023239106,0.570855364795063)); +#3356=CARTESIAN_POINT('Ctrl Pts',(-1.42802524773244,-1.95078476046869,0.654668499302825)); +#3357=CARTESIAN_POINT('Ctrl Pts',(-1.42802671163908,-1.91030557301377,0.627191359695365)); +#3358=CARTESIAN_POINT('Ctrl Pts',(-1.42802817554599,-1.86982638555884,0.599714220087904)); +#3359=CARTESIAN_POINT('Ctrl Pts',(-1.42802963945263,-1.82934719810392,0.572237080480699)); +#3360=CARTESIAN_POINT('Ctrl Pts',(-1.42839300025474,-1.93565532711929,0.676297044201351)); +#3361=CARTESIAN_POINT('Ctrl Pts',(-1.42838985657472,-1.8986473115757,0.643870633663903)); +#3362=CARTESIAN_POINT('Ctrl Pts',(-1.4283867128947,-1.86163929603212,0.611444223126454)); +#3363=CARTESIAN_POINT('Ctrl Pts',(-1.42838356921467,-1.82463128048855,0.57901781258926)); +#3364=CARTESIAN_POINT('Ctrl Pts',(-1.42667433103067,-1.91297142092918,0.69859524604885)); +#3365=CARTESIAN_POINT('Ctrl Pts',(-1.42667680114943,-1.88115243792798,0.661054977516381)); +#3366=CARTESIAN_POINT('Ctrl Pts',(-1.42667927126793,-1.84933345492678,0.623514708984164)); +#3367=CARTESIAN_POINT('Ctrl Pts',(-1.42668174138669,-1.81751447192558,0.585974440451695)); +#3368=CARTESIAN_POINT('Ctrl Pts',(-1.42354026932141,-1.89136246929291,0.713157167851034)); +#3369=CARTESIAN_POINT('Ctrl Pts',(-1.42353920704185,-1.86452966830333,0.67225396758343)); +#3370=CARTESIAN_POINT('Ctrl Pts',(-1.42353814476203,-1.83769686731374,0.631350767316081)); +#3371=CARTESIAN_POINT('Ctrl Pts',(-1.42353708248247,-1.81086406632413,0.59044756704873)); +#3372=CARTESIAN_POINT('Ctrl Pts',(-1.42286991232177,-1.88707747224984,0.715826626501692)); +#3373=CARTESIAN_POINT('Ctrl Pts',(-1.42286715183148,-1.86123504463765,0.67430533610324)); +#3374=CARTESIAN_POINT('Ctrl Pts',(-1.42286439134144,-1.83539261702548,0.632784045704534)); +#3375=CARTESIAN_POINT('Ctrl Pts',(-1.42286163085116,-1.80955018941328,0.591262755306083)); +#3376=CARTESIAN_POINT('',(-1.4281,-1.83528691039211,0.580817869281748)); +#3377=CARTESIAN_POINT('',(-1.4281,-1.83528691039211,0.580817869281748)); +#3378=CARTESIAN_POINT('Ctrl Pts',(-1.42810000000004,-1.83528691039218,0.580817869281804)); +#3379=CARTESIAN_POINT('Ctrl Pts',(-1.42810022308253,-1.83299677154709,0.58385888263132)); +#3380=CARTESIAN_POINT('Ctrl Pts',(-1.42781295542283,-1.83049045365117,0.586711703294918)); +#3381=CARTESIAN_POINT('Ctrl Pts',(-1.42667149629601,-1.82507937010162,0.592024828325393)); +#3382=CARTESIAN_POINT('Ctrl Pts',(-1.42581498411461,-1.82219701414972,0.594452079820212)); +#3383=CARTESIAN_POINT('Ctrl Pts',(-1.42469329392565,-1.81920637509824,0.596624906277051)); +#3384=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887312,0.53497781130571)); +#3385=CARTESIAN_POINT('',(-1.41137762689643,-1.85061713887335,0.53497781130571)); +#3386=CARTESIAN_POINT('',(-1.6567,-1.85061713887335,0.53497781130571)); +#3387=CARTESIAN_POINT('Ctrl Pts',(-1.4280999999999,-1.83528691039218,0.580817869281804)); +#3388=CARTESIAN_POINT('Ctrl Pts',(-1.42809999999997,-1.83778361544647,0.577502562257448)); +#3389=CARTESIAN_POINT('Ctrl Pts',(-1.42775464777988,-1.83999102793526,0.574006829888038)); +#3390=CARTESIAN_POINT('Ctrl Pts',(-1.42638882550968,-1.84382592444481,0.566697940699069)); +#3391=CARTESIAN_POINT('Ctrl Pts',(-1.42536603985752,-1.84542037302451,0.562924224428272)); +#3392=CARTESIAN_POINT('Ctrl Pts',(-1.42260569926787,-1.84805475690655,0.555040543645411)); +#3393=CARTESIAN_POINT('Ctrl Pts',(-1.4208329164422,-1.84903045548682,0.550965839502333)); +#3394=CARTESIAN_POINT('Ctrl Pts',(-1.41659522355089,-1.85032712683939,0.542833412485876)); +#3395=CARTESIAN_POINT('Ctrl Pts',(-1.41412480778331,-1.85061713887335,0.538818543859151)); +#3396=CARTESIAN_POINT('Ctrl Pts',(-1.41137762689646,-1.85061713887335,0.534977811305685)); +#3397=CARTESIAN_POINT('Origin',(-1.2503,-1.85061713887335,0.374460192245324)); +#3398=CARTESIAN_POINT('',(-0.8439,-1.8506171388733,0.213942573184685)); +#3399=CARTESIAN_POINT('',(-1.08922237310357,-1.85061713887335,0.53497781130571)); +#3400=CARTESIAN_POINT('Origin',(-1.2503,-1.85061713887335,0.610256215336613)); +#3401=CARTESIAN_POINT('',(-1.08922237310357,-1.85061713887335,0.53497781130571)); +#3402=CARTESIAN_POINT('',(-0.8439,-1.8506171388733,0.213942573184685)); +#3403=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887329,0.213942573184685)); +#3404=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#3405=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887322,0.53497781130571)); +#3406=CARTESIAN_POINT('',(-1.07249996814849,-1.93673672615078,0.657218114367566)); +#3407=CARTESIAN_POINT('Ctrl Pts',(-1.07250000000013,-1.93673652937653,0.657217966192245)); +#3408=CARTESIAN_POINT('Ctrl Pts',(-1.07249690447105,-1.93066882486895,0.665275106649393)); +#3409=CARTESIAN_POINT('Ctrl Pts',(-1.07278343783233,-1.92401259340219,0.672864908019196)); +#3410=CARTESIAN_POINT('Ctrl Pts',(-1.07392421618107,-1.90964635043126,0.686980123831)); +#3411=CARTESIAN_POINT('Ctrl Pts',(-1.07477633773539,-1.9019638913877,0.693478683580843)); +#3412=CARTESIAN_POINT('Ctrl Pts',(-1.07590670470159,-1.89385511198786,0.699370057407278)); +#3413=CARTESIAN_POINT('Ctrl Pts',(-1.08922237310339,-1.97761713887335,0.53497781130566)); +#3414=CARTESIAN_POINT('Ctrl Pts',(-1.08667022208546,-1.97761713887335,0.544492660551533)); +#3415=CARTESIAN_POINT('Ctrl Pts',(-1.08444577717426,-1.97694663290019,0.554052847460759)); +#3416=CARTESIAN_POINT('Ctrl Pts',(-1.08251265183297,-1.97559632680792,0.563568362952961)); +#3417=CARTESIAN_POINT('Ctrl Pts',(-1.08152762979974,-1.97490827972474,0.568416984103988)); +#3418=CARTESIAN_POINT('Ctrl Pts',(-1.08061854895755,-1.97404305244094,0.57325454546617)); +#3419=CARTESIAN_POINT('Ctrl Pts',(-1.07978250857968,-1.97299510981541,0.578071076322041)); +#3420=CARTESIAN_POINT('Ctrl Pts',(-1.07862431731276,-1.97154336414705,0.584743557845487)); +#3421=CARTESIAN_POINT('Ctrl Pts',(-1.07760713869246,-1.96975484808545,0.591375817973398)); +#3422=CARTESIAN_POINT('Ctrl Pts',(-1.0767309329376,-1.9676274174792,0.597907270519237)); +#3423=CARTESIAN_POINT('Ctrl Pts',(-1.07573337704967,-1.96520534832977,0.605343296712452)); +#3424=CARTESIAN_POINT('Ctrl Pts',(-1.0749185835266,-1.96234417957993,0.61264836748587)); +#3425=CARTESIAN_POINT('Ctrl Pts',(-1.0742807343866,-1.95909470782119,0.619738860834415)); +#3426=CARTESIAN_POINT('Ctrl Pts',(-1.07346363913058,-1.95493208096773,0.628821899619918)); +#3427=CARTESIAN_POINT('Ctrl Pts',(-1.07293436102201,-1.95010846029869,0.63755069880726)); +#3428=CARTESIAN_POINT('Ctrl Pts',(-1.07267870233258,-1.94469440172268,0.645864464509073)); +#3429=CARTESIAN_POINT('Ctrl Pts',(-1.07255948906656,-1.942169834274,0.649741161070845)); +#3430=CARTESIAN_POINT('Ctrl Pts',(-1.07249999999702,-1.93951616972802,0.653527777029029)); +#3431=CARTESIAN_POINT('Ctrl Pts',(-1.07249999999996,-1.93673692342046,0.657218262922108)); +#3432=CARTESIAN_POINT('Ctrl Pts',(-1.07265334435676,-1.93616993637623,0.673627571512113)); +#3433=CARTESIAN_POINT('Ctrl Pts',(-1.07265411398565,-1.89904006021863,0.641804818232676)); +#3434=CARTESIAN_POINT('Ctrl Pts',(-1.07265488361454,-1.86191018406103,0.609982064953241)); +#3435=CARTESIAN_POINT('Ctrl Pts',(-1.07265565324343,-1.8247803079034,0.578159311673805)); +#3436=CARTESIAN_POINT('Ctrl Pts',(-1.07255104364721,-1.93909735274983,0.670229747508942)); +#3437=CARTESIAN_POINT('Ctrl Pts',(-1.07255127140571,-1.90129871208059,0.639186809982292)); +#3438=CARTESIAN_POINT('Ctrl Pts',(-1.07255149916421,-1.86350007141132,0.608143872455642)); +#3439=CARTESIAN_POINT('Ctrl Pts',(-1.07255172692245,-1.82570143074205,0.577100934929247)); +#3440=CARTESIAN_POINT('Ctrl Pts',(-1.07223074424286,-1.95676358479228,0.648285831163182)); +#3441=CARTESIAN_POINT('Ctrl Pts',(-1.07222954281524,-1.91491846681575,0.622279315749343)); +#3442=CARTESIAN_POINT('Ctrl Pts',(-1.07222834138736,-1.87307334883922,0.596272800335756)); +#3443=CARTESIAN_POINT('Ctrl Pts',(-1.07222713995974,-1.8312282308627,0.570266284921917)); +#3444=CARTESIAN_POINT('Ctrl Pts',(-1.07534065369892,-1.98085522331817,0.60158941708147)); +#3445=CARTESIAN_POINT('Ctrl Pts',(-1.07535332878685,-1.93340032952147,0.586301950459106)); +#3446=CARTESIAN_POINT('Ctrl Pts',(-1.07536600387479,-1.88594543572477,0.571014483836743)); +#3447=CARTESIAN_POINT('Ctrl Pts',(-1.07537867896298,-1.83849054192807,0.555727017214378)); +#3448=CARTESIAN_POINT('Ctrl Pts',(-1.0845443614934,-1.98811211001705,0.552077617318882)); +#3449=CARTESIAN_POINT('Ctrl Pts',(-1.08454314322329,-1.93907199111249,0.548152763061927)); +#3450=CARTESIAN_POINT('Ctrl Pts',(-1.08454192495293,-1.89003187220794,0.544227908804971)); +#3451=CARTESIAN_POINT('Ctrl Pts',(-1.08454070668283,-1.84099175330336,0.540303054547761)); +#3452=CARTESIAN_POINT('Ctrl Pts',(-1.09137871415868,-1.98735345031989,0.526776703676462)); +#3453=CARTESIAN_POINT('Ctrl Pts',(-1.09137894834769,-1.93847905625714,0.528659040051229)); +#3454=CARTESIAN_POINT('Ctrl Pts',(-1.09137918253645,-1.88960466219439,0.530541376425995)); +#3455=CARTESIAN_POINT('Ctrl Pts',(-1.09137941672547,-1.84073026813164,0.532423712800761)); +#3456=CARTESIAN_POINT('Ctrl Pts',(-1.09252748023477,-1.98711261129728,0.522752141638226)); +#3457=CARTESIAN_POINT('Ctrl Pts',(-1.09252827283796,-1.93829026410635,0.525558246279753)); +#3458=CARTESIAN_POINT('Ctrl Pts',(-1.09252906544115,-1.88946791691543,0.528364350921025)); +#3459=CARTESIAN_POINT('Ctrl Pts',(-1.09252985804434,-1.8406455697245,0.53117045556255)); +#3460=CARTESIAN_POINT('',(-1.08922237310359,-1.89104249441663,0.534977811305687)); +#3461=CARTESIAN_POINT('',(-1.08922237310357,-1.97761713887335,0.53497781130571)); +#3462=CARTESIAN_POINT('',(-1.0725,-1.83528691039211,0.580817869281748)); +#3463=CARTESIAN_POINT('',(-1.0724999044452,-1.93673692342046,0.657218262922108)); +#3464=CARTESIAN_POINT('Ctrl Pts',(-1.08922237310343,-1.85061713887335,0.534977811305604)); +#3465=CARTESIAN_POINT('Ctrl Pts',(-1.0864658197932,-1.85061713887335,0.538831647099534)); +#3466=CARTESIAN_POINT('Ctrl Pts',(-1.08398796656165,-1.8503251454076,0.542860749841933)); +#3467=CARTESIAN_POINT('Ctrl Pts',(-1.07974037883674,-1.84901920726616,0.55102187713071)); +#3468=CARTESIAN_POINT('Ctrl Pts',(-1.07796507520485,-1.8480365558613,0.555110610409232)); +#3469=CARTESIAN_POINT('Ctrl Pts',(-1.07521420403131,-1.84539238946813,0.562993274655907)); +#3470=CARTESIAN_POINT('Ctrl Pts',(-1.07419879520797,-1.84380023451006,0.566752100345601)); +#3471=CARTESIAN_POINT('Ctrl Pts',(-1.07284283377888,-1.83997493475306,0.574032330651584)); +#3472=CARTESIAN_POINT('Ctrl Pts',(-1.07250000000014,-1.83777448242766,0.577514689745787)); +#3473=CARTESIAN_POINT('Ctrl Pts',(-1.0725000000001,-1.83528691039218,0.580817869281804)); +#3474=CARTESIAN_POINT('',(-1.08922237310357,-1.97761713887335,0.53497781130571)); +#3475=CARTESIAN_POINT('Origin',(-1.2503,-1.91411713887335,0.610256215336613)); +#3476=CARTESIAN_POINT('',(-1.41137762689643,-1.89104249441973,0.534977811305715)); +#3477=CARTESIAN_POINT('',(-1.41137762689643,-1.85061713887335,0.53497781130571)); +#3478=CARTESIAN_POINT('',(-1.41137762689643,-1.85061713887335,0.53497781130571)); +#3479=CARTESIAN_POINT('Ctrl Pts',(-1.4081550888264,-1.98712973344175,0.523041789885192)); +#3480=CARTESIAN_POINT('Ctrl Pts',(-1.40815433584112,-1.93830368566099,0.525781410854758)); +#3481=CARTESIAN_POINT('Ctrl Pts',(-1.40815358285583,-1.88947763788024,0.528521031824325)); +#3482=CARTESIAN_POINT('Ctrl Pts',(-1.40815282987029,-1.84065159009948,0.531260652793891)); +#3483=CARTESIAN_POINT('Ctrl Pts',(-1.40927411263204,-1.98735924626469,0.526972395119724)); +#3484=CARTESIAN_POINT('Ctrl Pts',(-1.40927388954104,-1.9384835862112,0.52880981543751)); +#3485=CARTESIAN_POINT('Ctrl Pts',(-1.4092736664503,-1.88960792615771,0.53064723575555)); +#3486=CARTESIAN_POINT('Ctrl Pts',(-1.40927344335931,-1.8407322661042,0.532484656073337)); +#3487=CARTESIAN_POINT('Ctrl Pts',(-1.41607499329695,-1.98809684838948,0.552181737297332)); +#3488=CARTESIAN_POINT('Ctrl Pts',(-1.41607618235045,-1.93906006339992,0.548232988239551)); +#3489=CARTESIAN_POINT('Ctrl Pts',(-1.4160773714037,-1.89002327841037,0.544284239181514)); +#3490=CARTESIAN_POINT('Ctrl Pts',(-1.41607856045695,-1.84098649342079,0.540335490123732)); +#3491=CARTESIAN_POINT('Ctrl Pts',(-1.42525934630108,-1.98085522247281,0.601589416809182)); +#3492=CARTESIAN_POINT('Ctrl Pts',(-1.42524667121315,-1.93340032895787,0.586301950277497)); +#3493=CARTESIAN_POINT('Ctrl Pts',(-1.42523399612496,-1.88594543544293,0.571014483745811)); +#3494=CARTESIAN_POINT('Ctrl Pts',(-1.42522132103702,-1.83849054192801,0.555727017214378)); +#3495=CARTESIAN_POINT('Ctrl Pts',(-1.42836930803771,-1.95676317904394,0.648286615710254)); +#3496=CARTESIAN_POINT('Ctrl Pts',(-1.42837050969876,-1.91491815562145,0.622279920253594)); +#3497=CARTESIAN_POINT('Ctrl Pts',(-1.4283717113598,-1.87307313219894,0.596273224797188)); +#3498=CARTESIAN_POINT('Ctrl Pts',(-1.42837291302085,-1.83122810877643,0.570266529340528)); +#3499=CARTESIAN_POINT('Ctrl Pts',(-1.42804893652885,-1.93909625878547,0.670231104966731)); +#3500=CARTESIAN_POINT('Ctrl Pts',(-1.42804870868196,-1.90129786876058,0.639187855942862)); +#3501=CARTESIAN_POINT('Ctrl Pts',(-1.42804848083507,-1.86349947873569,0.608144606918995)); +#3502=CARTESIAN_POINT('Ctrl Pts',(-1.42804825298818,-1.82570108871081,0.577101357895127)); +#3503=CARTESIAN_POINT('Ctrl Pts',(-1.42794659604747,-1.93616823048258,0.673629550165763)); +#3504=CARTESIAN_POINT('Ctrl Pts',(-1.42794582610286,-1.89903874410338,0.641806342835418)); +#3505=CARTESIAN_POINT('Ctrl Pts',(-1.42794505615825,-1.86190925772417,0.609983135505074)); +#3506=CARTESIAN_POINT('Ctrl Pts',(-1.42794428621364,-1.82477977134494,0.578159928174731)); +#3507=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887312,0.53497781130571)); +#3508=CARTESIAN_POINT('Ctrl Pts',(-1.07590670607436,-1.81920637509824,0.596624906277048)); +#3509=CARTESIAN_POINT('Ctrl Pts',(-1.07478501539442,-1.82219701402634,0.594452079909851)); +#3510=CARTESIAN_POINT('Ctrl Pts',(-1.07392850308291,-1.82507936978281,0.592024828605556)); +#3511=CARTESIAN_POINT('Ctrl Pts',(-1.07278704405146,-1.83049045345904,0.586711703515982)); +#3512=CARTESIAN_POINT('Ctrl Pts',(-1.07249977667542,-1.83299677146105,0.583858882745571)); +#3513=CARTESIAN_POINT('Ctrl Pts',(-1.07250000000024,-1.83528691039218,0.580817869281804)); +#3514=CARTESIAN_POINT('Ctrl Pts',(-1.07769559789936,-1.88729693501374,0.71568681093347)); +#3515=CARTESIAN_POINT('Ctrl Pts',(-1.07769826989452,-1.86140391882972,0.674198105078688)); +#3516=CARTESIAN_POINT('Ctrl Pts',(-1.07770094188969,-1.83551090264573,0.632709399223906)); +#3517=CARTESIAN_POINT('Ctrl Pts',(-1.07770361388485,-1.80961788646171,0.591220693369124)); +#3518=CARTESIAN_POINT('Ctrl Pts',(-1.07703884820956,-1.89150525592835,0.713057892549966)); +#3519=CARTESIAN_POINT('Ctrl Pts',(-1.07703988369619,-1.86463964786,0.672177830476624)); +#3520=CARTESIAN_POINT('Ctrl Pts',(-1.07704091918281,-1.83777403979168,0.631297768403282)); +#3521=CARTESIAN_POINT('Ctrl Pts',(-1.07704195466944,-1.81090843172333,0.59041770632994)); +#3522=CARTESIAN_POINT('Ctrl Pts',(-1.07392087047575,-1.91303292869453,0.698531412397245)); +#3523=CARTESIAN_POINT('Ctrl Pts',(-1.0739184226836,-1.88120003861627,0.661005974684998)); +#3524=CARTESIAN_POINT('Ctrl Pts',(-1.07391597489169,-1.849367148538,0.623480536972753)); +#3525=CARTESIAN_POINT('Ctrl Pts',(-1.07391352709979,-1.81753425845974,0.585955099260506)); +#3526=CARTESIAN_POINT('Ctrl Pts',(-1.07220689408304,-1.93565481838955,0.676294113155397)); +#3527=CARTESIAN_POINT('Ctrl Pts',(-1.07221003958297,-1.89864711210041,0.643868543099122)); +#3528=CARTESIAN_POINT('Ctrl Pts',(-1.0722131850829,-1.86163940581125,0.611442973043103)); +#3529=CARTESIAN_POINT('Ctrl Pts',(-1.07221633058309,-1.82463169952208,0.579017402987083)); +#3530=CARTESIAN_POINT('Ctrl Pts',(-1.07257480725018,-1.95078485025193,0.654664077890789)); +#3531=CARTESIAN_POINT('Ctrl Pts',(-1.07257334159398,-1.91030585372215,0.627188094531969)); +#3532=CARTESIAN_POINT('Ctrl Pts',(-1.07257187593778,-1.86982685719234,0.599712111173148)); +#3533=CARTESIAN_POINT('Ctrl Pts',(-1.07257041028184,-1.82934786066256,0.572236127814328)); +#3534=CARTESIAN_POINT('Ctrl Pts',(-1.07271854573055,-1.9536322123659,0.650261014123654)); +#3535=CARTESIAN_POINT('Ctrl Pts',(-1.07271621877432,-1.9124985310646,0.623791976097905)); +#3536=CARTESIAN_POINT('Ctrl Pts',(-1.07271389181834,-1.87136484976332,0.597322938072409)); +#3537=CARTESIAN_POINT('Ctrl Pts',(-1.07271156486211,-1.83023116846203,0.570853900046658)); +#3538=CARTESIAN_POINT('Ctrl Pts',(1.46230440210064,-1.88729693501371,0.71568681093347)); +#3539=CARTESIAN_POINT('Ctrl Pts',(1.46230173010548,-1.86140391882969,0.674198105078688)); +#3540=CARTESIAN_POINT('Ctrl Pts',(1.46229905811031,-1.83551090264567,0.632709399223906)); +#3541=CARTESIAN_POINT('Ctrl Pts',(1.46229638611515,-1.80961788646165,0.591220693369124)); +#3542=CARTESIAN_POINT('Ctrl Pts',(1.46296115179044,-1.89150525592835,0.713057892549966)); +#3543=CARTESIAN_POINT('Ctrl Pts',(1.46296011630381,-1.86463964786,0.672177830476624)); +#3544=CARTESIAN_POINT('Ctrl Pts',(1.46295908081719,-1.83777403979165,0.631297768403282)); +#3545=CARTESIAN_POINT('Ctrl Pts',(1.46295804533056,-1.81090843172331,0.59041770632994)); +#3546=CARTESIAN_POINT('Ctrl Pts',(1.46607912952425,-1.91303292869453,0.698531412397245)); +#3547=CARTESIAN_POINT('Ctrl Pts',(1.4660815773164,-1.88120003861629,0.661005974684998)); +#3548=CARTESIAN_POINT('Ctrl Pts',(1.46608402510831,-1.84936714853803,0.623480536972753)); +#3549=CARTESIAN_POINT('Ctrl Pts',(1.46608647290021,-1.81753425845977,0.585955099260506)); +#3550=CARTESIAN_POINT('Ctrl Pts',(1.46779310591696,-1.93565481838955,0.676294113155397)); +#3551=CARTESIAN_POINT('Ctrl Pts',(1.46778996041703,-1.89864711210041,0.643868543099122)); +#3552=CARTESIAN_POINT('Ctrl Pts',(1.4677868149171,-1.86163940581125,0.611442973043103)); +#3553=CARTESIAN_POINT('Ctrl Pts',(1.46778366941691,-1.82463169952211,0.579017402987083)); +#3554=CARTESIAN_POINT('Ctrl Pts',(1.46742519274982,-1.9507848502519,0.654664077890789)); +#3555=CARTESIAN_POINT('Ctrl Pts',(1.46742665840602,-1.91030585372212,0.627188094531969)); +#3556=CARTESIAN_POINT('Ctrl Pts',(1.46742812406222,-1.86982685719234,0.599712111173148)); +#3557=CARTESIAN_POINT('Ctrl Pts',(1.46742958971816,-1.82934786066256,0.572236127814328)); +#3558=CARTESIAN_POINT('Ctrl Pts',(1.46728145426945,-1.95363221236585,0.650261014123654)); +#3559=CARTESIAN_POINT('Ctrl Pts',(1.46728378122568,-1.91249853106457,0.623791976097905)); +#3560=CARTESIAN_POINT('Ctrl Pts',(1.46728610818166,-1.87136484976332,0.597322938072409)); +#3561=CARTESIAN_POINT('Ctrl Pts',(1.46728843513789,-1.83023116846203,0.570853900046658)); +#3562=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#3566, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#3563=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#3566, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#3564=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3562)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#3566,#3568,#3569)) +REPRESENTATION_CONTEXT('','3D') +); +#3565=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3563)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#3566,#3568,#3569)) +REPRESENTATION_CONTEXT('','3D') +); +#3566=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#3567=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.CENTI.,.METRE.) +); +#3568=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#3569=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#3570=SHAPE_DEFINITION_REPRESENTATION(#3571,#3572); +#3571=PRODUCT_DEFINITION_SHAPE('',$,#3574); +#3572=SHAPE_REPRESENTATION('',(#1860),#3564); +#3573=PRODUCT_DEFINITION_CONTEXT('part definition',#3578,'design'); +#3574=PRODUCT_DEFINITION('3224W','3224W',#3575,#3573); +#3575=PRODUCT_DEFINITION_FORMATION('1LAST_VERSION',$,#3580); +#3576=PRODUCT_RELATED_PRODUCT_CATEGORY('3224W','3224W',(#3580)); +#3577=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2009,#3578); +#3578=APPLICATION_CONTEXT( +'Core Data for Automotive Mechanical Design Process'); +#3579=PRODUCT_CONTEXT('part definition',#3578,'mechanical'); +#3580=PRODUCT('3224W','3224W',$,(#3579)); +#3581=PRESENTATION_STYLE_ASSIGNMENT((#3584)); +#3582=PRESENTATION_STYLE_ASSIGNMENT((#3585)); +#3583=PRESENTATION_STYLE_ASSIGNMENT((#3586)); +#3584=SURFACE_STYLE_USAGE(.BOTH.,#3593); +#3585=SURFACE_STYLE_USAGE(.BOTH.,#3594); +#3586=SURFACE_STYLE_USAGE(.BOTH.,#3595); +#3587=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3605,(#3590)); +#3588=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3606,(#3591)); +#3589=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3607,(#3592)); +#3590=SURFACE_STYLE_TRANSPARENT(0.); +#3591=SURFACE_STYLE_TRANSPARENT(0.); +#3592=SURFACE_STYLE_TRANSPARENT(0.); +#3593=SURFACE_SIDE_STYLE('',(#3596,#3587)); +#3594=SURFACE_SIDE_STYLE('',(#3597,#3588)); +#3595=SURFACE_SIDE_STYLE('',(#3598,#3589)); +#3596=SURFACE_STYLE_FILL_AREA(#3599); +#3597=SURFACE_STYLE_FILL_AREA(#3600); +#3598=SURFACE_STYLE_FILL_AREA(#3601); +#3599=FILL_AREA_STYLE('',(#3602)); +#3600=FILL_AREA_STYLE('',(#3603)); +#3601=FILL_AREA_STYLE('',(#3604)); +#3602=FILL_AREA_STYLE_COLOUR('',#3605); +#3603=FILL_AREA_STYLE_COLOUR('',#3606); +#3604=FILL_AREA_STYLE_COLOUR('',#3607); +#3605=COLOUR_RGB('',0.8,0.807843137254902,0.8); +#3606=COLOUR_RGB('',1.,1.,1.); +#3607=COLOUR_RGB('',1.,0.807843137254902,0.498039215686275); +ENDSEC; +END-ISO-10303-21; diff --git a/footprints/Potentiometer/PCB - POTENTIOMETER - POT BOURNS TRIMPOT 3224W.PCBLIB b/footprints/Potentiometer/PCB - POTENTIOMETER - POT BOURNS TRIMPOT 3224W.PCBLIB new file mode 100644 index 0000000000000000000000000000000000000000..24c2b61683d557c81fcc5b1ee5e5b1cdc378a236 GIT binary patch literal 139776 zcmeEv2Y3|K*LMIxK&3a45;`IqcKWOm!j{<(NFxbVBm@#65E4j;w9u=d6uW?Q=~8SI zX-WqXkZuEFLpn$=$#-V%?C#9HGrRl#-}imK{hsHG9H~!XH2`V|)Cj0CP!pi0K+S-f1HA~;0_Y{6mOvJu2%uI#Rv-$9 z24a9%AP$HJ5`aV?8_>%@uK=|MiUeu{6a~~4$PVNHass)4Bp^3XG*COB7@+n*9e`qi z;(+3T5`YqcIszpDB?F}Zbpq-P)CH(3P&c6NK(7Mz0D29mCr~O-FQ7D_-avhT(t$F7 zUI*$6)DI{Vs6WsEpe&$)K-oY!K!bn=1LXqc0Sy7l2YLf&D9|vV;XosRMgkQ8jRG1C zGzMraP!iOX10};j^KAH?tCWC@Ab)CvmG;i{5&_Dbj}N=l{HaqVN&#P6c~HQQ8#K%U zt=j>mK?`Ik+Mv8({;d&KvP4N>l*gGo-GV72^T^{w{)vU>_l9St{g*XIi?`z`mATt@zp=e?F> z9pt*SY4T@z{(I6q^WXEg=Xa>he_1Yh{(Iz;e|zS?C(RD|p*;U(IsZ3*_$%5KM}5NUq3~q)t*nT!KrAO-|^PZn5#KXc0u4-6ir4C(Bqz6(l93bd9t|Sglt1V>jQ&?&?Pud?;|XlbjurJH zD9TC+A})wLOmw|qVSR2BG4qD$v{(prUJbQ zG!1Av&|5%n1I+-M2{a36Hqbjj?*hFC^ghrBKpz5q1oSb`9H6;C@>=#7e$NM50JIQj z5zu0wB|uAoJ_T9^v>a#!&`O|HK&ye)0DT6u7HA#NdY}zJp96gX^d-<&KwkrG1lk0& z8E6a8H$Yo~wgGJi+5xl^=v$!gfOY}x2HFF(7ib^QexL(D2Z0U&eGhaP=m(%9Ku3X& z0sRPc9OwklNuX0er-9A@odx;{=x3l`fPMw~4d@)ud7ukGg+RXp{Q>kR&|g4*16>6A z2j~*eWuPlSSAnhpT?e`WbQ903tECd#o?2Ms3UeC!HGAK7Qdq`f7#GD}+*+VjO24)P&$n7Bmj3qoV zAw`N$iAji)QlupGcen%A+W6$~l%$wA$PSkmzKF(+8y9qliFZXN=0Yu5srK|Y(z5$x zq^CkPsVX=Xbe58mV-n&c8CYx!T=oWRd88Er_IX2l1~W^+bF!C z(sFTWd7x-`R@!j*H*ZK>slGGcQiq@*Oo1>%fJ zcE?IxeYGI%V(s0eBx|ay4aL}KO0csQmUTMe9~QK6SzQ)~NQ*qf32vTc6m4`R6?IZ_ z28AceD#_yMvX%Owc8a$NjL0aht7GVtEV&%r5}`wr4P~Pg*&J?Gv~dh)k!Vq}uskhT zM9L1b@tlKpJ6sGyJ8;>kRIh9aZ)D^`TVv8ushD(1Cu^jmQnk`4h_Ba=R)lj(RvT?+ zTo#w)U|<+HtrmyV?XU=LXhg^q1X{v{qkY09=VYa46R!(GDKlH%b5_LoB}g~gDHXQCFi%3VxrrnfF;5X%*-<6h=Ylg6l0H#L^^~gW#nb% z4Ix;a2{8Sjty870iS~G99$LvN8Kp59FmYTI?Xb9bnzpc<%L&tobz2xW<8-*}R;$~} zRWuqdtZ%sB!vSTX;lf6U#Bf3R>Tsdx2%hX*#Nr(1pF--JTzu7ONOAdr<0`^#$|)q zM8im7MTxdJB&*v(@uHKV8JAlSSiC(2U3(higMc?EK5B%34Js-EiU0$w+XaZ=vH`M+ z4$*t$PRHO~kr4FTYdPMWt` zDaOKccDeCvJgf+A#^Q8Ig4NAAVG<$e*OgSHbLM1c!-AQalbwe>9EW~ZS@Lu>1dV$`L3CIofp!TZ#Y!;x@U~O2-vL%%-`t%1>~u^x9Q#>i+-ZcP z19mLJQbC~HqChzRcT=3jDTp*D&>ZJd?Nd$NDwevEoZ(V#Zcc7^Tw3k` zu<xh^~wwX_;9jGP2kN;qXpo1GXaqCA%cSSq{t%$|l%ANS9!7SZ$QW#X21{oapeB zy1;0JR6!|Ofoy{jsD&h@0hQUSt3ca;UP#h#AT+T#ZL|v(5Q~)qs|l=j7=a8-W|p;9%`eikBFP5Kvv76VuYe+vQ|s;L`(Nn^_*4KY`a~n*tp!mlI}y1F+5Q z1Z=ayehkk4SU5}+oL1QOIAHMMjb}s9(K$2CP&OMjEm*}I*0h@~;IyC+a0oE?CA&j_ zRYk!yi33~%2zELtJL42wZb^bePW`eEMU}CKwv6d8b>*Ef$%G9)k>m)}xfH?=(dH0c zj2rffk{vb_qUeOigPkM?bDDyECG1L(!L4ZlD5?mlnv`-c8_P-H2##`4Q$RTpk_5f| z*2<~bMtV&IeZIaO(FR=45l}t^CP8n(wY6(@W*Qqy&w)vZFVYmFYe54fp%9b=y$#ns zUfo*BqtRzn>lrZl@MRF59Ikej{@77#jzh?Ak_U0sycldZV=UNs7j}!kHtKpQ zH6y}zxJR;h2L!QI*YgIso*;uJ=*_zJ8LCC2!m$aG;C2l|%=N&A4UG(&pf~IqA)%;t zoEyP0uy~gPv?<1W4>$sKKJD2S+XaquG8W3s!$Fxy!JfoTTj5yTNzryVL4{*=-1dT^ ziimNU>1fYR82!NElTcZial;EZf{@VQxK!Izl+j@szP8co>AC|p3l!`Nd7c4989QZh zfJJAaSz4r>j9YSYG@+3?xbr>u;grdm6f`bGrFL722 z>y(cL8Mx>W1yKNN)#V-*Xdj>&YCc7AJB-$D_Z3C) z`41cc6=ehUsLVi|^Ad`xwv)gyP8W2cOK`%*k^z-P+67Zqq^z*Z6gbvk=&A z;u7I-j~r4CrINs5nd;0Uzr z0*il56^6i?BGX8st)kU#6)jfQ3SBB23nB-n^Wcu>usbA9;BDxBO*4BK(lo;116VLk z@!<^|0X3CPAAidc)DcXdBHPFc3l3{%7>gZll|fUx4IEJ5sLRH=+#;N5(h^Usy9{9) zQ8<183#KVPzJVj4rh$wjs3RCnk#WQcl0&q*VP3-RFjz>U4U8k&Wnn0%#M(HwQ*aXN z5knY96b>N3qSurm#&=-r2r3ECr$Jdq46=^wZl{~$t-M9zVO3%!nz7gzI5T&+I0tw@ zP!eY&H6^Sg3P%vA9n+K;-+|2|s3d@{pisG8$7y>Mqrug^-^SoE3_ z&N9FeP}4v*64VilrpQJD7fOb=@tlQrIOL-;9yGOq-Rp+?XqFdjPPatZy)0oP(KvAwNxy% z$-z5l*r6hCUhRrR*hn;iA-Ii1xc;z#Z6sg`FnWWskyvCSF`@$uK5$tEpBJ$39S+51 z*$pn06az;Q0&lgG^OUfWXaYlU8;Nivg69Y9(?B*7)Dc9Va>z!KU{@x%IaqsTmnqf; zlhtlx;Rw|3bh_ba3FaxW_Cir?o)Y_PV8P}oKE8n?kfy-mzfTp0fHo3`Y$Vq0fHy5A z@Mn^DFszFMw<{-%W2?Z!sS67ZSmdq^iYl7MWP?`|yc89ln3a~DLEN(en@?Ni#v5Oi zfFq!`f$SuxBe7F#tOH(Sa>c-#A@T5Vf{<=p4Mhk`WHuFs3@SmfovwIBRGAL)g)6gxRT`=sm6dr07emR)Os&E|C2M z48iG(EGUttL|A_v7I+N;>%R*>MhpX^`Ng2MDAdQWRbDf;4=%much zfF;1{%m=A2vZHKvJJ?RV%>ou8=nF3dz}<#Tu(%yI0bUf7cson3SE?O_=}YvU)|OKA z#gUl{Y)b)40DXlZ^+nc{pgNdG1{Q4Uz`YbUw^n$47p^+s zep!UK7UYX8iIQv(FvCe~grKOQ!g&7yAEq#l(ZCT<;XoD^)DfJ*$ijjb`~)5x&n>Vg z01FEY5ZL)SL19*8tn&83=^*yFys?EvT-pI2rZ5iDz!6a4KqeN{5uC!v#G>H!6L?1+ zPQ~qTU<_~b(y&@djD>@p0!(d}m9vpU+StS*?(TTp#^Q0529AIV2ePrCj^GqVHkOOy zT`Wz(kcJm|0h1_)#ZJjR%)!})0B>%%C2~j`+gQXE9*-MYJPy;q5m4bkMi$f&oWjV+ zf>}y4R=9$eIN3cXppM`a zMpl+nq^%G%!U=bIFs{L&LVo>4a999@ov@DCBzQ**x1xDtD~lrf4>z-T9H^nDfC>jP zv!IUP6h>y23$}d@$|YLdZdjmM`6VtpWu@h}rR*ZymPl?lsjzBhxpFhJvcjYDGt-sO z5Sl$FueGq0*OiIJ2y9{T$S{&$W(0>8IQX_Y<>O#Rkx;&>0#7>!!@?yiaU=mn6&&f1 zkuk`UkUzxt-ndpsty!c9NesZiW)Y9988=J~Ck<<#Rk;~;gPrbh!#jmensSJ&3nb@> zvoI*C2+6p@<8sn7lpqXR@w8Tq%?fcka61Po&<+=a_b7lPPJ$PLEh*oz=06nTn2Aum(?wb zE;(!tu{;W%yC|O)`058NSYHsm6&UQHl7L#MXC*uX$C&`*R#EoJhB!d-TlVn2I3+m0u2cc!e|ye_d`A{a4Y~8Obep70wXR|5>N{S%1(iYT^z^`LPPKYFdkqNAp3cN zW4P$FN-h^mSi*wm?#HJEv6BTJObw#D0%I~%5>O2lw!z7TvV(V}z`<^fh5ZaHwN4gL zkcQ(_xcQ)5giDCvx!BRDLEr(7-8Q1X^w@0(YI^WCViDC_8_8~^UGVOKUH%9BvcTU1 zPW$EXlvWqGY`Gcobs;E)QgG2kxM_$acoW-0;K7u@(Hl6lN*E58 z!00U^^oFZ3N_L)y;3B*{zhxf`Sr>?W>X0M{?DaXquLg=LUEzedr9+0N<>HY~ARki! zhi>4|s$kU0dgM0ZK)_9b6A23uZY=PA3M@+^>~L%x4+go-1=9mIHWYb$ERw)YY~p|i zQvyeB;Ls{z*bjk`TSUkWXG(C00xtrx5OYvALI5}5tk423@L(L;oD_Jj5mQ1%ZnQmf z<)#hI%8fp_jt~Q5?Jp!pqT%oNfj}8`I#w zNpdunLmJ_&2|Sn@M1KWFW~d}kZ`cqr3%nDeGl5H&18$tffnIZ8p1Q8iwFpj1)v~@r-GAP$_DRCfg3PB z#i1zC8#rzO52gmuUxC3HDhb#d2%O<=%qBYF0+^QIJt&AD1XqP{E^Tr0ayT5(DuRa+ zp$56NCOjl^X zIU5Plg!?1#V7)=~S73;SN&@xW1M01u`Hj^e-(P>sObYn$gh8k#R;!Os2)>;=&!&i4wVEPR0zf4Vw~gbFsE4nZLq0mzzy((wm|3y7+@3!FDMgR zAsdO}gv%lDU}_Nk6&S^#l7MP>_SbSSR9J8Y@M?gSij{|#-Cac>ku5s<$40CBJ%|55;*Bobg?m_jgi341^0T`QpizN?GSwfOhpKe2b09X z80kWSIT>vX9-!BSu)bs&0_$Rg=Ss!e$nBj)PwaMstl;Cf8x%&JM#gYAU^R>5Zo+C3 z%iVxm7tdYEPwgzGT7!?|?kl6HOd>M*n9M>kc{v!lS%Qn{<}2fE78Jb8m!W)8T1Ad=0NZn4mbO*&J;UHW zM7}}>Ug(ssPF9vZxJYf@Dp^H#U5GYcp7Kc+-xHh7m!%aS>r~d;shW|fBx)n1`>N7J z+w#O;(`R~nRSCT2&EsTsgNwN4E2F5Zi-hjWQ$8uZrFb-IT9)&pE@#?ZkVClA)4uNF zW%+}Pqvn;7*UFDiLf)rtEu_!ldARf5Ipr8l2CF?`f1G zjMrO4lc5m<`H5)qv|{L&YKqdDj98w6u)%qS_#Q?p66*XxG?{uS!4pK2rEGDOBai&;* za)rsi{Lygd~zbR(8wuj z=Cp|QySAmxNbN8o`Z5 zPB;$Nt1P_1IkI_cWJz;fGdftSMi#652B=RK*5BI8`ZL#AWnm7^Rm~?TX|8KV4y!lU zW%o2qNq>#z&s=AfB|A80G~eS$b6qo}SQ=|lZEO2mwON1WI;$+{!MU6H1SL+8;Hp!T ziwUZ2ZGS5|t25ZK<(^fR``{ePe4-E*JFS5pR=e8EV^rJP{#JX|pT*89P!OEUm`_mR z3<;dYG`ac~)$WT~oz;#vn$B#;GtnVZP)=b!Q3$&oV(MvfF+sJh?GGTIKV;$*WD3ql$|nkeOyC@)$;AZKwzfZH z;`|{Kryx^s4p2T(2xJ1!Crz$iP^}%n`9mho0GU$Y%LBS4N|8(feA3=u!=L&~Ye+D; zsYX6VYBlH&ZA~aeUl~d>sZUW4!pk{3c>Jx*oSx)#RF!-uFF8|^Vw{nhnTh7Qyt&bM znox;JQs+o@h*I%V*OW+4^O{X!%Ft(l@;U-|Dw7ikrwxToRbS_@p=5a5z~`)?PJGRm zYAG#3YvraDxz*>IO=;RtY#J9^Ng%{lQjNq`G7Q94mjSQX>X2o$Vl!H?%_g|9Ll1+C ztt1d)E2&0eD;Wl2tBZnHY!&QTt=OzqY_sXk8n!m!-DB+8qof*%tz;O8tu7H>u~q2j zv|@8wu?;4_GOUdKaX7rSl?0--l~g0Kl?3JDrr#Mp?Cj1D6ay9sn^j zci~&?uy6TM>%xVHx33K`NJ%yl9~IEaZ!}XW)ok|mf@eQW>ERUNsYe}CJT(DS5>b^D zqBG#V3X>^|a&+pT!A}vWs@i^(4p)Iq+aG4gN*O~dMPMsRAr&x1S5&}A0UTdF_sWzG zVJRKNQf3$nv4ac;6oIl3oyTxMnc^%eV59(!v=D&}jba_vQaZ4u%+MBIrZ!Z7z*~6# z-B1Bj#6<;+6u>dpa}`bLP?yrduBlHEye4a?0D-)eG4!Hj!zmpc_)<9fLcBE8A3FR6 zHtmcsLtx4nf++%nQ3^RD6h=dJ%wU+paoBU6P3e#r*t80mVKHS4#uS0ZD1}tO6pv8> zqXC5@vd80{(qS^C17%ZZC5VDzIG_kzri_6ZB^&mD88lNkHp5F%Xb9=h8Q8QvV1~~S zHo;H<0--5mh(^hV3YdYk$N$L(YwE6_)}b`8X%sN^Zh|O&Mhegh_^RKxt~3uyA%+l2 zHc|lP=!TFHO4B$>d(H-E9ZCb6Rvj~xrj1dWCQurskm{JCG%BD|2aOvVM`_R90j)!6 zVACpKhSIb#O49^NqZCpBQrk53p|sv>N^^ce8>2K$pfpM$6);6< zRKQ399Hl*X4YUrWX&p+Nx>M1{C=JmS0h4tz!Wg9yqv4ED3K%JXqqOG^g4UrltwU+r zYqclyRG)f_RKw&ggAUKq!ag_GlRM0w<1~%=CFhgnD7^P_frBMp0jwwo`0!ICT zqqOG+gVv!mtwU+r45evfl!hQq_<&MUNCiw$8dYJW0FKh0n+;lr(zFhxX|ugEZH&@z zBZwxOQ=f;E9p){~*hmaXc!`Imvf*gU;v@uGfWJ3kaP+Ijj^KGR) zHzAA;rGZVO0Ase7W{gpqAy68n5JLzh8!3QtbVJApr5PNhJ@+My4yA!jtBx5;!#CC% z^#?Gn8hGQJNu88l{j5n4&Z)V59&- zY58J6_v#y?18D|q!|LFQ3}}urXY<9 z=z73#MkA0``$O{*BZENN+Z#HRX3S6;!Xg{?27%Iyl0xcZiqfcnP9JqjBb1hVL;IR6 zqr+$hVYH_^%rKfU#%PAXXp};VZ;H{VfRXqJqty=3X@Ef5+XFh3X3S8UF-B>IKxvdh zs-Q3$&IdD;W)MnaUo_%rYaLPpt9D^ELu$qtsTl&PQ3|Pq!f2?38B(hbikK4WO(3g7 zYhcwVVd{d&8lyFQtp{c=p%g+1lx(B~%Fzubqq)r@w8m8O+!E>#8(6g}nISf7jMxzQ z6<0|~AyqO(Y*d9#B{aQRgxHu8>YX90+gk&xRtYoIW{puBg1g{KC@G{8rl^goFj4}c zHl~DnXvylJo7L^FO`RNBW9ViH=te1|5~k3N3K%JYpc_*{y+LGkdu&#R-KGwZtTA@O z_p#wUVT|2~(Qt;CVK&SZ6aZB~ckrf!t1F^aPUilY=#B~uhf1#~K@(;T5Vri6N=$?CA2)$O-U zT`b{SDGmFDz;aeeA(b%2a#X-b354aC5}x};R=4S9b#QL#e+eP947DKO9HREZcq6qi zg>zKENDE^)SMi+H;W?|rb5rL`))>!O0?$zjsf8(?qXGt6sBWEjU#Ni2=>Q#gH8b7R zbCWX$bdCUYltO5Ml8u%tl%vzaXr^<9fbO}Bi5FNfN!)SbQ1fru9QYTYH zM+I~`p{dRpBD&{BlG8yt@M^U%gLKXq(m4XsQ3|PrDWszUMp_s`y5}O2(_uQN!*o;k zQ26F+!@eLeol{asEle>T6)@7m5Ys)kk(>_HIUT5*`i^qOpw1DXj#5Z16h^~YVg~9Q z0(Bw;4yVI&;L?sIGd$;v@f@O-Vt9^HNcm0i92L;@g5fMjcy1I(htr`sr$ckjY){S^ zqd9y%8?KO&LMmj6=BNstLh2MpXs!>x!|70*)1f%0+mZWTXyuGSoFhOSrI50lf;cK* zB)fWO?)%0H4`%4_o73SpXSN;ZjPaWz@EfI&3Yg+IDqy65dT8!bK;80jI_Tzf(9M}` z#W`c><_PFUDWn3X(2WWhD8LhMWT@LcUWeMirkT&Y*`YavN;XwtWhy zTRUC{(Yy|#c{7OSjUk#RAR48R3YbDPDxg!qa7wFJ+dg$Xn>=2J(7XaxS)WD|!2Eh!d;VVmxdO#q68l?~#pk$+2fO2#i z7|m({0W}r~NzkD*@Mv|>p|r0v1Y?jE2#`i8q$;K$jSA>gK@(aaK-#m56LcsId|Cy} zP+BlXX@Nj#ltOA?iqfcnkp>8*4Pqw=I+zx8FfEwDv|tR=0s+$~h15V{G#pN5FfFJs zjYnJ(bSMp6+8!`NX~7t!1p=i}3Ms!SN}~csJ%CV}h|?tKKw8j&v|t9(f-y)71W2P4 zQhrmAMg?^88%}5SYCEmZfWEmo`Pu55TY?Uu1sy^QW(W=ILyMg??TqAp7arSZU0f)1hu9YhOe5G@!( zv_L>KN+HEJg=kd3NPGm*x(HCJoh0Z$TF`;CpaW@*og^5ev_POVN+DG+MQK#PKm`$@ zv`z)}L_^fUG%#ugk!S|fqA^U11Wcn8LIsp;G!;;eP6eZREh3o40%3_dmD23F;6sJ)Eoi1oXhzO^#@L8e`qk%^&y%|P}#uyD>l!l#bpcGPiQ;bFh z45aTYq?&|kl5_CL#-5i#B@7p0IRn?)lSb(6$uJs& zo;+jSO`<xe3^FE23XRJFKqJ-{dV^yxmOm||u%FJ?m80l_0W<7aSdB(aU)0fcQ%m$V#GSi)~ zgJotj-A!#_Pa$L7_2Jmz%VN+P4uy#GEYI6Aa-Om7$ZRHbH?yDRip+E;Y-pL;Om|Z| z+Ed6_cYTO=__8an?!@7j=S3T+5E<8mlE}&?lg-z8V}o0Mf~=?Z1sqSB(ORc4o2>I@ zhBwrw4;#;T4wmE`9OE=#hD>roNo1Ro$>zEno9Xh?4Rlx1jC40O);$#&_q#q6J>&5% zfI`H9o9BfdInQ{^Bg3B1-OR$5D>57Ngq1Hdn~ix>OW#w-Sa*GRd&YB|1%-$^HP5?1 za-Om7Xh%TkZnia$D>Boa*dEBtX1beh5j=&Ab*C`H&g04er91&4e22xJ7m1WiWA)Ye z5QP4e*~UVt%d7=Zum^0~n}m!|Dw12rWa1kHj_|H+6lUUi4$EhfD_~}y)}$xwKF^y_vM45opBmtTY#l$QpGmX}3^M{!nlW zvsO@wnY03C08*OnCM-ZtSUOog6BAI4l0mkDA0yBtWQOt-qgF7r15tzwvsO@wnY03C z3Tn)Jh?-%KlOi==@Zou7Lzd6P7*s=Tkgedy95ji$p*+Q?6-+Hc^8g&)x^%68ox(U` zqC3YV$2el8=%g4|a!hwA(i&lfF9b18O^&xGdKnoTBLK4?3J~aDo6|MYG^?9F%M&io z9xKkWBSjjo6h;j0TgAymOCAAcP~WbTKce@F6ua zta6|XPf7F_s!+L?iO$bV&uA&TrX}PLLB)OJo@%N2%xM4MS}LVoxoJZ)v-|qww>wi} zI-`b;5F#N?qc1Ba+1V*6NrIN}U8~6|$0WxlI6FYU>XY5EF^P@@I|OOd9Pa@?I-6*k zoY^-!jc7lFa>y@jS&a4D@K_h z-u7V4+5<9-Mipz;9%#jH>KpEOPa(8`2JxCnekO-kJ`U4Ba<;R_$2je=>fRg1GiRm( zWEiQy;VS4t89Lq3_So16*gd*qqB|woQ(_Y0JH*7hAgHQQmP<-@MoQU3GIE>H*7S_L zKDn8LhGgbs!(TbC!#~*>L*d^yGL>I_aKi{P$EWBmv2;sE;~6zN{mZzNwGQ>ubfI2>>At>EOSo4P+4=3 zQ&)$*EBOFror8dsDt7rwDH%{B{2#4VOs-MZIwrP5vNK7N;_*z-*gP{KDJD859<`{p zpwvxr#U!Uh(tvzg(L1Fibn<|jPqrjTgr-l3O|+-9(^ex3mz0nYm&|z9Zd3@qOd+`& zfFX>HWOqUmmfIyEsY7z2-3fs?@xcR4=xrgC>k&`eKKdNpm_S(!V-+}#S2dGc$UA6^ z7+~X?C?%mBnzhmbr_3P`M%VI)f#O+Kq~RMkkgB@oH{|fD8`~)^Cf*LirL#RLMwzdX zu=7CGc$pj$beN|e->d>zyev|@OJ!hrff5-TUWbyK04*Go(k+sb%{yN=>zmiZWR2hm zCUr3CD#Q55ruS9I>np^&z=ToL6&?;u0kTU>7|EV7Wdz$JrCp4(L%funoD6GrOnfxn zZt_r zz2&aS=HzV5%vbF%+Qqo!M?qNY6o|I%!nisoM#OfFWNo~aV?;(QfWO;yjpVExXM>9p z*b_j0H^>(lPM`!@$?pdF49l>zz+$!0Fe+t@lx=MUf{;`?Juh?Mpsb7nPp*nok-fBF z)(e`@7|bZctp6O$eANX6GecGrF!S*0)_(%bWG))OEGZ@q_C8%?5Q$V~3Hgu8s*E#r zB*}}B^0zV|qU2HWKlG0^f(=xakvwrRE=3+H)C8hKO&})J1Y$!?ATHDd;zLa!A=CsC zLroxCs0l<_Lr$WQTdS#%Tdb*&Tdk>(Tdt{)Td%2*Td=8+Td}E-Te7K;TeInqTeInq zTeInqTeInqTeInqTeInqTeInqTeInqTeInqTeInqTeF#vS+n8HF(n}`q)utz)F`2| zlms$`%4P&LQiur!&6H?|Tc6Nsq>vIxK6zK}Q9`SYLQ5vN6P1$U?XhrF8!v^7<))J= zWUM!xR3T%*>7)u7D^4d>$XIeZsY1q@(@7OF7M)J2kg@7?QiY6Vr;{plj#2euh34uW zItQxy)(Xw_J#>y%^{o|}D}3l2vbvIDVq>E_#lQ{v|KLTqQq_NW8{R>Z62VU@r1pM3 zpOlbUt9&Abj2Y?^DP-0tpGYBNfciuVnYGC$QplK|K9NGk?(~TiGDfFQq>!;VeIkX9 zxv7yU1lRA-F*G&p6oM;w=$M$Ab_&5YJj9Gk*+bLg2mC+eXZhbTFMY4Xgo?on-oEjY zGqem|huzsB8eUh3N1myn(o_%#PD)BjkS}4Rq)-v69XO)L%FgH^C6)Z9r}D}{$RM6i zqL8^}Otib&C8%$?hRSg29AghTnKXbGg64P#xnp@>YzZNUEU!!<-xv=e zw=7>fg`hzmLatf9b_zj*JcQh{eC-s1>v#ycX!+VH1P@k1$4$$(W-=kTjx+x^Psaa? z@l)iWDEQlpN}v>PB4vgDyg%M#^@I9eq4uc}5Go-O#j1#az$v4xsp=mw*?qF|(=$dV zXXIw4Wo3@YP$GP^OUvsQ(K#bG4?-10(k-1?X?c0^X#+DNQ@q(N)%XOkcECpw-d*LO z+f#i39@OV(68<~SvROr1g!u>0f{%<9p5^%nXox=2HrPyfKB^2X1#jMhT%PYe^k_dM zEjv9eHy!`;za^poFZ`+^${%6G$->AVRk`GkaQEOz1-U#QRcU1gRUP>woWOe+P%|r) z!HKh)%KGYMeKoMY2Xh(*U%jkP2G%D7>w6jtPfK-WW@Kgb8IqgX2Q`v9s=RN^!-f(( zvfA0<5fN6iOpwYvQ<;)xB^4XTEK|9_G0Rj?-z-zP)G*6baL_DMIp{abRFJ_e6CHk= z=K5_T^x~9hS#3ke3$SwRAiobE?+MtXybvuPk_GrtA7NezT0%^hiP4&6Vl-MNQgR$Q zIawKL*=pU2a3C5c-G8IvmNZB4oJjMdJtNR7Kg1h=s7NUZ$?YUq`T{8Lzx4m8fNB&0 zbUx(6Oy!+?c#j<-h{!LbCnrkI7<+7TN)q%W3YVfbq7u7AN={Ltzo-FSG+9J&5;ad7 zoJ7Me2Qlab{ozhfLXc>3;5a1S6N?K=?iA;cW68(?r!+ZmR$){Ck|8b$im;+`LnMHica-^r}+(`*>(BCQ>3IyP( z(C(5WC>Z8pqfrg(pcd3Nr*eYk4a=i4=zyhG2MRleoIvuf@y**($`sBz#Ifh}< zGe!|SnDhcq`hPKY2P1bM5!U1X;n*DzgvT=oy}t0?Kvc4_8U|wMdzK*J(M?26AS$&A zT{aeoN@ej*R3Z?SQ61huRGLh093bz6PzBQlTEg>Wt@VMZbT#6Es5F_{#YtN$zSetM zM-zxjQwtf0N|&h#M5U&i1fo)Nwf3PVikX`0Z6UM>D!2>cY1>DiqZ<<_OASQjtr3rc z6sc#>L_dmD15v3(buEwT_5`BRRPOB?Lk_RHhJmQmYP?Jafv9j6A`lhMpooD7Lh{NB zQJ3UMXgVhC%5T)zp2{#jvgv({C=iwRsdyKdFlxHO!{M<^)vCxYF=2$Iz&C4j+F~^- zL(4P}mHJqDb@16@xJ3eOhG*hZnJoG`$Z8&+GbB7Y?Tw7|7cutOgoF=Ewn}tc*>hSXQ;L{1h!W~UO{MEsMW@?EXzaaDme<4 zoYXCnw+aGfr3)Yet|tC$w66+T87bNmgI0-EDn-k|oKg~$9+JI0W8x)b001Y-vL^uw z%P;?^5d)=YSbPBev^`XipfKyIj?*Qfh+>Mtta!J2SW&us6)8W>ld8xTFWHk49PPn) zN%o{8Za0xm@I#}?M>B9lLk7a73N#hS+Y<7mN8PFv$Rfz`1m)m%a!f%vqOa0a@Zw=I zbZ;cr(n=L{mV@TXT16JPmG{Wd=hzsxqkKgvjwa2i-*rr*ub#f z@OQeG+y93;VI@kSCl?n#W5RlV+TDMeKXs~v=eL%v9Xu;dksw!_9Q5Z3(EiT?w?~Fx z3d(&Y_ksK~Y~GjK1|F%madyQ0$hJij#UixzfA%QRmkhKZ@6Q#l z*MIV0U;WWVcfYB>{n3SU^|lRvbot>jZWC{+n9eZ zUY!|LbZ2?9nLQuh`1_manZ^G`75{r}`GRxBSNj&-ymlmNbn$~mHHvd~6rEf*=FZPG zQ-9s@=vL9;n(I;v{=K_r^@lTWUfQv>_@5*2u%C~ddvd?jfyfb$&-Psq_3hi&w-^7M zdTvbd{8c;e{r2Sj)FV|EY##IZf>A{YufEx8!I)9!raipy^YwFI?1qZZ)-1liJnG4X z<@f53et3LA&*J;LHja66Xi0I=?^8gQ>p43pvN@VAKys&h2=7>+qAB%`YDMJ7)n5 zl)ZEMLI>P@^mnV&f}4+5_uT)@xnFlYd{}h#a?RAOkN&#zWbUI6a~9G2<;cE- z!=D^Du(ZE}fARhPm#Y?8_Ixyo{kBHVYa4!frG8wiMc-{~(ewTA zt+0N;_kD)eeD~iywdYnj*&uT8(3F|)bfC_j>1}y(KCk(nw*)?kKk!__u95!c5;k(~#9J=Z9 z_cyb*{PNYlZ|>xtu6wQN(9M@;4wVjEI6HS^@vZ82KC4jsPUD0n6NfB6y!oH_nn%*- z^d9<8Y*X&zf~Ln4bN`H8#(zAbVe+%-Te}^+-}HFXsyRo}3*H^vmwvAP^&{!E+l+oY z@59Xd_qiWlTXy01)8f#Ne@s6G|4v?%`g>NlWgEA)`KI{N>YC@aKL6UUwo7Mz+mtwP z;i6h^QUHcTQbqw37>`nXlW55;x23`{JQ|4yykrQ7GO z49~kaarsw=qOQHUZAtM9bN*h3$#alj5JNx1&stK07|VA$)Y};)*7$ zwS(*VV}+Ln-T7pEm5%PWHZ7j`(WB(%jTYCqSgpxt-@Gggb&vS&il(r<@MuksnPgeP0HTAJ8S~iqufhp+uX=r^X;bEW}WTI%FRnxctV3X|m#!FTe^$0KaP82M7s~>6;7(L zZ2PaZ!Y_xv(*Nr>d+j_o`*Q86W0E?|I5DVhe{pz2>#(@z{vKc(WGO#hoa$`6`@zxf z*GEpTTy^l&X=3Wb$X+$Zc57R1QJ)%#?y%RhUOUR=SLo69`88kvGp$PRK0iqBRqi-_ zYL(vWB-itm^QUe;+5Cf7#r=Qu_{Pz+%hbVp?5jR0)$k?v{KWRzb(VI2En`n~y@%i1 z-^%~r)@pbe9>9!?Pdq}uaMm3(svd7lu~QmymE1Tk+tgDPH$|hadK>% zy4{CVyt(aY%hw-%v8eXZ<)vO|IAq|8GPnPMoRaPP)nJ~yNki(~21DwqsSVpteePsf zcBxIzo#%&SmwGrP?28h0-zi?R;Pt*=Tp0H5CvhKKJL&GpcF7BSuKvOcrPzXtwc8Bw zeQdky>3;ViYs=({M{ks<-KOoXDRFAj!VAvRa*|_Lbiey&xPpn3XCA$=VZi-ib0_aO zb9VFEFAwdzdL?Dlw)qnVJc+BHc3nC%@>tUzc>}f$+!pa&;q(gkH=W99)N*^->8)OV z?|kna6X;&or5VeQfAwhY((e+>{Po_{n>9zh5V=7*Q@PK~k2)?+eZe&>(f zU)8bpPkUzn81u*1pH6P^QssTM&vh%4pA@ll?&J2GcMquBZdL2rGcv7?jNM1a4fySu zC*>|KWP4vcY9Hu|olv<&BmVlN+mQ!%vb7e`g*SR$+>uzO>33y6t=y+srK*m%R+L^g zVc3#;xh1$!b&@YtiYu34T#J9HiK{Jvw>!r1*H|Cj5 z?jB#=c_#alUB#Q;-j#KjEsCkU`$EH`bzGej7QMLOV58NOCrC>pVyezQ+huE?ktL#r z->T4O+N8PH+JBpKyZ(uO6-ri%J$QLs?X{V0#-A@|zman6#<;g!!t?|xvLtxd%tDxyVfJ-?5vK-SzYOx>sF4C#NFZVrBym;Et^s6 zDd(In|87{dt4{dX11p+*A6ep;58AHamL?CF_Wtc9840&e?$yq57w1wzReS&Ko@n zp0sVUZ~jBdHoEu1ch0)YA9QuLb6<|@zQ5Dr7)#Ati34AF|J%lnZ#o~kWZT;&X+ZCp zZ&s`R;P9N&)ecn;t9JY5_%=O{?wf8OQZDjMHfH*3i&|B`{ptAaDJ>UwxEND9a^=Ei z)o(8yKR@N@yX8kDWxmTiS@~JZdLv`E|DL&`b8^|0f0S!I;Mf$}UG4eWOJnAi{Agt5 zby0KXovE^TZBpT?XWp-4iCe~2DmC|;U#6UDeriDCkhVKZlz3|QGbIa4m$+G2kC$>I_7(2=+%Y5U`O=5ly-J@xQSaOP<9ij@t=os4 zsJG~T;ks3$)>T@Wy6c$<|14fRLD(AKjxTX|QqBBFj&ISypGSTQNe~x8~wU z)$4w-xKHOH-}kS1_peo#(#Op@**?2rewpcIBR372e6u+_VAoOi$;_>jTn}HqM+Yx(x$cq%?XZtUx+dx>}z}z1Mv8_P^zu?b#Va4N7`;@q{uF)5cAA z+<81T;{Kg=bD9q8er^A{ijzk)j&6O$TBgN6M`ul~c
N4ti6UjN?QNzsk=zSG;9 z|Msrx?is?M63_MsD^+vn&Jx1NMyiA2n<4R@sig}^yk7cfH99MT>ujm)f z9BbP0%DVR#Z;f5^@wUfxHb)QtTnm5guX_7Rg&!L)J@fNF(}pit(`w>{%9Gc3 z9r8K+U)J8WZ}&6xr^nZ5PE(S7!p19z3A$~^N~zeUvt zikGLxQIKf57! zVbj0bEt-7*K*Nz<+Yiiot!={U0N_FO}8vjzeeU<(cN|t;!X}Y~X)o$SS zALd@H)Y#c);)k=(eEDm5xT6DCXH-OX+r$n`uaLO!y|1TFx$3a=Z!&4-*_@iCCI0K_4bnb+<<$<|PuIS1Ncy4m zt-DLM_lV5@v)zSRgI8_IeNa1j@2*<^TKBeoi<+5VY38hDGrOGq^Sk%I_~XJy2M@uA zK7BU-c)#lWIv=;6|HbGDr%J8ekY3=PM4cY_{hfMw+gkNn_xPQiFSNGZI(qQCcb}=# z;^h&!m%p4?@OJgzsdFP+zB3H|Pj5D??&-`A=ce3k&;|sy1+JMzvngm+tpf z*k?5so?P+5-O@)!?cLjIYMamByg&P5pUeldN8FzM`h|sOWB;r@_r;yN%69#!!i`oX zA5RY}Zn*R>XPH{nN|cet+AH7c_RF24mk(^Y`SHdvJ9l2$_FK(cpLYAb)*H_!U%zu~ z(9tE`XRO_P>~h@=&x_^zS8dfQ>emnIPs_;rW9jJ+2YkP5YEivo=Ndg6=^8WB+OV+2 z&IhyqEP3hJzCTOO8S}XJ=J0n9t#5oZ@=;;xio(?GJ=5pCaINeQgT9V?wC~QuUkelF zJMO>J^oy%24?f>~Sj%~lANx$c2oBS(JUqT3-@Q77H(xuWN*?A|zAqwX*D6&Zrsw%=+r2w$I)v}&xP5wFyBjCE zeYk&Q>-HC4sClW|ht0eFQzhl^clY&AoV(I* zuQ~S0o4+Tn?i{!6U2(?YwOm-YGks@D+wwPG9XcG_-bMeE2nX_a|+C$(%ZJ+@|A|?v)(8yur27h4Ic`p4t7$vi}aKmC2ua28SOITgvrCIO?~>go6Rd#tX(l1-qQX=r6Y2YmV?^YF6=hs z{iO}&m;NZm+4>plyOW32=+zW*%JluQ(vgxk<(xt}XE)?jo(g3eRytC5h25N6%kKU{X6&mnc9I!ax82%rYL{`tj#XM$^5)_OJxdo# z&aLHlPoF%j`qY}w-E2{*Rqet~;XPTIvH8@IjViS&U-(HC(5twtP!ZRwyp&eoRQrCbfy4om*t z*}B#4jwOD$_xESXn|^B0b4Fpg>gP|?*-{wyVuhPqDn*yBGH1?>W$c{xMVIWYmy~=y zZqL3M{XVZ#_(p>n<OG z_1zQ4jbBz{>&mRS*VcFMIBwdq&RbVz6Q4``Q2fQ2$?Z>nwlcc&C*Mx_RJ0uJozvpA zjmzqF%b)ji{OPv_e4EdntluraM)mW5J~e&bQ->;Vj~Ja^eP#I?E6dM&VdCX}tD-(X zxS`?p#Vaf19*MH~S?@pa4y}Cs8vfj^$9nK^U*s$nSZ|7%!KKIqt zMeEPk=wI%eEXx~byFdM8SN#t!RkYNa+`V_|d+UZJ*I78Z^sdAXS32CPp3}b6iS@l| z^?!4eeS2Zt(WnX!_YHj5tmT=>MT3tzda@WzOY}NYH$BsEw1bBlFe&BE&qL{-QTlL-d!f8j$J%BkKyPWox;EVXq#sd_Dhob*cA>M_*s~t@rPn@2r2N zp!Ugx>GLNJp84y=A=0AElqk!?N_!)}UU9to$%G{jGmnkr^JmrA_SB`F&bqx`dgZk# zg)!5A3$t8En=x!fsU06T$~^YMte>6I`f6fNa{}-+gcx%dx zHI3fiTSnM2s15!0voB0n{@*eqV&2SO8r|I6(D(o3e|PL%vTuIng`IAETypx6YDe=& z9-sYp*k@HB;m?xOTh;7ZlxrVzaX;UtZ?BuSQqzw--?eC-y=trIziz%=YI;tct_8pE zyd_lFa)125uJ!I;8Mmiz#f#9dtIscax<>uT^=S-saB7Rk!a7yut1f9NPI+_Odkv<)*I-Nb!0tCH9-6?sDHhBW?8_%!+3TF%Gwe{Kw#%Qo z_+Hp2ReJTF7&rVqsnys&$0aoGTF~&@Tg|F$xes*@Kfk(Mjnue>Fa7q^pOp_PBh+2) zX!HBC#_yR@t!0~~J6~<_Xi5IDR{w{JuYiiO`@Xh7kWxW9M7q06K)M^GyKCr{2IbqE3Eq{E2*DiG`_c{o z-`p>pbby3f7-;p7%gHJkAR0POX>W#6bBDE7^z6U(J6y69U>XTyvJ~F4O8SXPRB=xt z_}_@&GYICAn#I2=B@uKYZEfHEO#Pdvnhv=Q*Y`qu&ihPd2_cryGmor%ffo|Gr>ezz$lPw+2*I)S-5AngAWI`_oK z-yw2;iuf6%+w=IoEb0aVDfW&dvuAA))SM&!h2Yz(%u34PfIJ;>kO`5WFL{{lH-_W6qQRxmPa{LH2?b8F5Uv(csj%yOv&E^$95d9 zN0Je#3=&A(JR221y0RBTW>3>TI%+~NX=&{iP+!_i*G(;yF0t{%_(DbYWSvVl&5H&D0D0*y9obCQXhySd0!tP2RnCFZKkgB`iea>I5|uQ1q* z)15K&7SuQM@9T0&R8&A>VhXJ`Pz8Sm^l~y6)(?j?`~1gdCHKsYoyiob>d%W}S8;3` z%|UiOgNr+jYmPK0$ zlV^!r@zv548z$W1T!lkNq~kraX+#wEz(JAyMsn8Q%;Z1Hms7{} z98$o|&Xz*{5eA^myK=;cZ-v~rPD1{pOTT~RoB%{-fit{?JNuo2o-j!=;O}90{JT@y z?8|i3l_ol_)mWeRD-lL?+=I&++j$!qGrKI>D`6_XlyN;Q&Z}BV7!l*RB7u$9@;T}XbYQ9HtEp5Z9g1nh3?*Zn|M#!H)1+;iyj))Rz7sIe@D`R#tZut5K zBz63xNMEd5#;oeK`SQV>Sg_O`S@EhTsV?m7uK9F zxpr@Z*VzvfzvO;nSe^(mZs|QdBZ#1!p!^JVVU10(Ib}Sy(G+)X3B+|~js4=!cf6c8 zJ|XVi(hGOxurHK4zVen#V-uE|rY@@mY~p6m|GnzquYOZ%Wy|hV`n)IVB#w^D3pf7F zrtvI5RAiF}*G(vQO`9NsH`~`68`kpg&M59T>M{zek&^}%B987W*HKCf9WJ?M{G(yZ z%tW%)goS-+F4KI@hoQE`9Q_)Rd7gYtf`~!P^6_&BCJNv%;n51SudBIC_~+QJ`qxnb z8uc&a5FssO&rG_(toLBtdV+C2=b-Y5+)0yFx`C4CyW`*BY&k*yVz&Q_nXUIPrh&5a zUprgUf`5ZrUFF$?1p%XDfB9$0r1*Exx*S6nO;&NuX*_8~pkosA65c&`F-Kt`2bxn0 zj3uc#tts6B!HfWU1{!7lBjx`Q@uvTg>i>1M{v-LCOV+>u`E+|R0crn{I2bt$fG;{| zFpF;}5>qwV`$yRSBQA`I|C#79M>i$Q%|3_M31QG!6uG}b+n>N0Z zU$bOAu|v-4>O24>4jh(C&!Yx2N8r5w+O`Q3<;Yf0(EEExiZg*}g8O}a?j{hU?Pya_w{v$PK|B={>|A=i!mL>s;d6>J=!6pzLAbF?%5z?do$OcU5W6WNa zkANKnJ6AQ>DLQE}1M)%!@_M=Px4SdW77$=_G^F$&Ayod4s7n4Nln?`CAZgeHbH@H7 z!j@`c!V5W$ND0Sg(R6qH_YBYucgS>e=Uf-3zjIjO^(|AZIk(guIFIN{Tt2r{2Zz4k}DUWR&B1+hLP0#YAv03a%9h@M`F7a?J#2OMPl1tE#w~|a1~uGK<S}Z_YhzXE7{`apA(&3JfI#lao||Ee2SabhwyjRW2+AyRN17u3OX_Kj2NoItJd(0 z#F_8U?qk`#ej^+C(+9f3;1>y%Bx=(`RAlcwGt#MQvquG!}o-!t~vgO)tzT<`3 zmpu3FguCaKH9S>J=pla22#s|qZ*S4tQ=$k^_`IW+Q1y%c)Ni0#8I6`H%Yt~8svlYt zmsTUGL^}AKS$LZX;AV<0m#TfGvHL?mQk_#s`X4{1+iT$&tdofKO%M%9ep(uoF&sQB zDl#UaQ~veZ-X$vN?ozg92=p-W%JwSW{lV`?Aaeh%UyCy;c61 zZN9E^eCHU>J5%8#M38K`+HhCL;HFF`<=>s*8iBt;u6thJf9MXA)ql;xf76z@)XB@g z&8gGejVbYY-_Q9sJB1Ndb2qy>qWqG+)D-x~deqU?B9EhaMVig=3W~(fRtIx8-7?Qp zRLDmO2v>Dm#B8d4Y(J`ybhPJyKBH%ld;$Hq$Dc8Or->ooW(Q5T*YrukN1h+?BJoYt z#H^hWM4z}Dac+)0RbF$LYmAMlImNZcJ3keNnICgpsS7SNm$ad{3Y%`HgUl4(H#o@N z4l7I@5;PdT=OxX~2aE01NV7=s*kbgjS+gx=YpiWT$2P4@@8I|&7K}1WHigYwH&BNy zf=SoGGE95}U}qaj+xGUhU&lvo_6!F{WcCb4N1;#6=X87>9#YU(&4n3J7)T^dVCRnf zVDe4jwp)*thwPhFQ9Kh~ucu}{z_-3WG5FE90Z>EEA^i9?xlxHCB>l&&Zem39d#oOREDz&AhRdB+M)`D9= zo0?jD%}ai{<7L4Jt&>d}XK^eKDzamIe%(mXgu_^twoWbSvH6lAo_>bp_CYSKz&3|x zZiNR6;iEjJ(T)8_>CJ?z!#stIzr8q`#0$Vi3yqNQhHdZ$bhll6tn5T|v0fy_1=Zm5 zwBDxtCfFZ)spALgHQ%wP2(-F1p?Q5kPWCJG_Paj&&e@n6a_wn?ONYUUeMfsY-vPA! zC65`uI+QEq`8&UaAMrpBf(MaR+!A2^?&;8vr!03FYrZ_8&EbP^`or(GbF{%(1*C<} zNb%11DPSM$*y(GxZS)|=lPz}y{^Pc;=so2z)ISFWtvn;O7hBA{opZLwf3{m~b=qBT zod+%wyU=-|hY`=wJo+HX*I1w6hPWb z5ngnh!5>sf3iga*uDJZ`Ada1ot=q0(vG)R!RUcq0b{peN*8K@ZKIZ4^<%~W2naErU2k8!1j(L z#-z$xa%0Zlmu5)C0caz#-PThQc}-u9TPvZX&j!kN0I(+MFUC7)=(C-DhoNHFUkv$+ zfiRqv009{53geSD4jKk*TQxO37G?=GJtf;cTP-~$l_(nkZa2ZWX+D4!JLv#m$2x_o z;MW7WiULJXx;g_{I_Vh9Sl1dPs$YKiiz)5NWU5ZQtvw}C@dm2uo17N)UDW-j&=0E3 z;jwD!n>HUXYP(7<2sKCEluokLg=y=naEtX;R8d0=X`KUAc5$12e^4chx~{0gElq{# zZt-nhC5|Xs2Y`Kfhe47$O8Ox%2X5+1?@KF0!ZZzL4qMh#)m2y|b@WwQH&vJ3lv;=m z(v(@uRri)xm8?%wHFlLOD4e}1-RrA`$pOaZjdS)bQFr5{! zvj{%|+|ON)-473IAAin1IvIFOqMJXM?)G4Y1Xz*jV zE^8aGSp@;0BG076Bc{t;brb&ODIAb{pfyDF`rUGy*2ufYsw?5RjUHRRX}f z%_abc?Bf_JnpW`96=wN?Gf@NgwH(GOtHjc9o508Qa6|hhaU7z;IKK)h4|`V?oXmV4 zgfq9iHPTpSejy6D!?zd|+6kwFTvXT%+~3ur&_*OWf9HE8l5vYf!%P_5^Jg8NQZ zbJh^znE5Fv;LhJ-^e4xk5_3`QwANvXrNp=B1u3=IC)RO}{)OLM89!ceGzKSekn@=)ej!wii} zG#Qm(g%2w?>J?n6y|!@B5`%5MKwdOCiVBkeA2jaP< zR1w_mKk8i~naCTgzK7%W?v#eSGA6(pnJ$k!n*j#?qrC-o7Q|0bdISc3K-v8mISl+6 zK^lESmN;?*U_b##Zz{TDaIC&t6uN|Q2a&MIL^ora9!%RA!Qa1j;4#%kAWT_}y54g3 zY%-JPWcG(>&=T|v^0DEkn15R@0?qHiv6vw{&_&)B>%#^YIYvY>*@KVT;adf&ng0a` z7P`oAg{_+1hyJH&SEC?fW?M1TwVg~{U}`m6v!3x1rgZZEdSUWv?i5&O#~{bIrf@TW z2OB(CNFF2k$n$$_} z(U^+CK{&fE^s6GW{0b~Z7TUjQ;QWp<=`Ag0afI_#ljl&p;-BuF_Vb}*2UV={8!*mFlI;k?Su5-iF|zPI9VGKUor(=e-h>de&y*Z zq0Kqa=^p+J<3KMc`=c9|=(9IIrmrRy3~I3%i;=|U*Lq!j@w47x6F&OXVZy=Nd)xBe z{h>t0hPMy0)xP;NqP6;XA(+0d8TBM!G*0ZFXT18S@%`y~MVEB#)-OeK_qWii#}`8)gX{ow&Dk+NeZ%djNE_mfHSCv1aG1inXo7k( zL?y8IV?X;Eq3Y(=P{#%T{Ed%E_DKw!V8)bHq{6o@B8UChnh`_ntdnb;kAxf5V_Vp- zcVc<1Hs{Ao-XVy0`=XqEGj#}m^(}X6hID=#Gy5B-{F^ggv)T~2pGMylXwd@M`}IDr zGSqU=e91tzj-2>rV2PC^)|e*3`f-k)V1sLN3mcX4f$FAfxf$V%(cB^Y^|yX{szF}( zS6@@I#0hDvBj5RUWxD&hMw?2d8H#6}B<&CrZakmb!bbo60bo=B!=QXXiCQ~(&O_yD zG?2Z!#Iu<)y@mbZo4ozabQ%UP>Ze4(I)(xCve8epZ{?-PwFwQaBkg^=mRHSV&uA?j zkdZNLTU?`Ge@!zqdY0+p?;2eQP_ry4X5lk4ONVe2j7UKjiNQN2&T-O|f{$p;?EO2R z_le{`p5+0~Xm$MkUr7hnD@K|4E5tY(RFExfa zh;rcq!4-Z*7kO5Zt1l1|oIfXG@G4G!?y4qJJQ*0jI+8E^goT`fs$8U$f)pfF$UopP zHp*o1O;&l~7Dwm=n~5^px$~o(2uFW3P)9G_EmsgSy~v#e5s@K0S!#tjdFdK93*~{n zF!zAr{3w&zI4M)RIBk@me^(@F9>xATHY??Uun_ma6m*oyLjE^h;EhoMelEOqmjzJ) zx?g{hAVZA6ds?&@j{d6W0Sow)G;YXv7&os@+zQ`gg;{qJ}_S6l@=I~}g z@n6186Wn?pd zhGA2oebN#CZlLW;ibZd^8_O?8Nx(HkiEfM4wkV=bya>dY{U{D%3O{isOp!h7K%l9T zUsY+|A(iZ}dJ(Xq=}|0r@Tshd?o5PX(Hog2zG1qr?H(vbObfp!H;Kt>qTX^wr5v}aiP%YZfhffvbi3ZJcaD!W)s-lPACjDesds9wA;w1cr zUR2@Gk7?j5;rbix_k)OvcGX3r1cd!MujXSg_2Dq)-QzHnXJwgY{jF|3mHgC35V_-R ze;AO{Y!VqJ6Ag>^ZvY_;7VnCAf5)>>aBdQj_q~V9GY;>gH+UlxeJLG{O=s}Nl>_w- z@oY0JS*SaN;?;a07IENOt0QtvYFP9OlE|p}e$IsEkCa#R_{IVA^g?gsPl~oklQY`g zAJ?8X7lDUtPSI)a(JlmJvAjUni!!vO1{&W#s93$IE-_Gv#~jswG)(5q^l&vOhr?n@2&CqUphi*wr7C0Qy|#%P^V?wE zr4?U_?Gs8ks+7S+QgJU~H>Xv0R$^e7QU7d?#S{U@A0`+ANW0*Lyy~B(m^zZfxJWbN zvbBJVZ1E_}C69fdRva$T36r!?!&s0zg1wYheNaeMBU&eGlp9Gg*eC&xhKr6GNi}jR zr$&#gP{g=XQZ{VJFJZl|h*nxwZ9*e@y>5qA9Hzy!G#1fv4w@@yBtKM_)U1$ds2F!j z)RYW$ZD^?^z)=jAP$S7I>Z)L-Z`760N~5aGXhf&0g5d_uRWwfgluUuT&^N<}{9I~S z6X0y~lZX5+YSI-nP6QJRYCb;F0R5h^`Ngz1w7r0(=4SYq-^#QGIPeqD^xK#&p%qsf z(ntvW$W_mcBmua*ZKIVjCKi`19})^tlr|GG@-k^e(NkM(U7Id^&eRASIU*HIf2oPX*RdN;{yUvHh3s(+)h}fz6qY zG4Y^1(7kb1a88XR0kfP8NE;H0O@~hiB;`V(MgnPc!2#6CmJo3xgjoNpn)BL{^5eS&?^=Ls`L%aX-+Tw zi08i8h8jr(^)sw%b-%+Q2BLTk4omNGTGps&;)J*)+DjGQk1^ZqIxcI7o&yfs#fU)i zZLl`H6!?f|_@rRU%QOXT2WB7$6Yx1;9=#*G9S$iy%9utwGXMjBEwLo!I@|-wG8DW9 z8!ILOUO3s8Kps=gZAUz63{q3ufw1K_QvVI)0hXN7pj4EOA~Bv<^rFQEmd#HZ>jbbi zoY1)hyZ!KwpE5^Kl+N{a5fSGCzeWr_;v3%hTabe4N8ywIuI)O)~avAFrku}U+1;tG5tQ_Ji9tEJyi>ZjEHi8iNmE}@7 zKtNzLo_gVJ;h>iGBk5sUxJvG+wkcsu7oq-(uV7Rhp6eC?x;W3aJ-PFOcN*zOLo(%j z#KP2KGr3z{WI#CN;$p|luk?l&T~}42T8sV5 zy-S86)8l}z=fG+KH>3?wVrbWMz!<%<1ybH7<>AD?u@<04U<(~5-BAA3I%s{Vq-_hm zBHeW4H{wrG1KUE+NcRiRiPbdP_Po!XDKwynL^T4XsGPP=v711k1kB2om9|ld_`&yq+!=|=tAfwY#?)CEa z+_o(D^>XjOX`_Bn_G!DEYD`-~B#KKP6JtGVr|>kQa$)8#xXL(D$Xgn`sj4BM@C>oX zk$nKAXyPjWNayI1fK^33xva1)WLPE77wC0TA9`XN743Agu2jUVRnbQWElUM!fG?#pe`D7b7*W> zyZB&>qmD!|)~vM!pj$?XOP6RYh#L1=p@kn;qk1Y)XWwn=M?I1PqPzc}q7Nt(x3xE?6i>Wyd-voWUrqRe;pi6Yx| zEqZvV8iptYr#-|;RF6!}ZaTaHqTK`Fk!dfoi8Wz8l!QJ>GdIJ7UrqLxd*VUnMuwE? z<&wj0LLWNClB_k~;8slA-u!ml(RA%EWB$*)8bq16rG=Tpu1?C@c25Cev`1ff28pxp zS7xPWruuu7m)y<<@;Uk7v?ISjUN$nnquwKI0vGX}{@jG0Eb;)XKonL39XTE=suek6 zFbjMvC$yy@l?$L#m0Vf`kfuoO)_jLIdj2YUFeOfB;(TJ$KzsUpqFZ?Z5wC`2|EVz{ zR7K(XNBKzdcX!Dat+nqh_tu57C9TWOxRwAlexW*DJGuErf4be4r&tqm-w@ZEwVgj4 zxK;$9Q+?4rRJFiM1ajTLtV_0+vTNUU6M)3U=s341TkCt|u9>2Rb-Cf#%uV3*Yf&~X zAp=ZUuy)}~94DXCL1|+gsX5JOOB3gsbL6I(vW^MZ4B}lr8 zVu3i#CJC@cL~UMS@i0b4UMp19WS-nhVreM6BM-=d-kJ>7P;Pfz^Bj&Z`HLNnH4}@2 zb9+_;2T;c~k72SZz;agZcxE?R;VIAdDlt?`LicSWXpnk$tT7?%Ijk}HOZv{QDWUT| zuXR~R0s=7r_4%09;^C|;1Q#4a9>g{M8*y1`cZ76yRVUlICBi7Q8 zR(Bpl(4x8cUh$sunkh)}fL+QP7}DfQ?Dl*}qN)ZEP05X7EE7NR4%C#ugBg1irfEJ(=Eb!% z6c2G+3zyrP3}vBrdCWsM)e54F?I6>t_(b6))ubgVahG_)%(i9}7B`*05$bb3bDq@n z2TwDW;K%muHKRAf`LX?j&i1m>A29Fk?lre}wy_;u zzXsZMjX+z|tKdqNWkGZ3;r{23>D%iGsKwTDzeg$9hv>Lm$)l8jQ(52-|DIAe(4Q@P zSZ-4)m+G^;$I>`weVMYj-*`82cnMCj+PZQV0CL!$y798H{S7d@GZ>?U4U`?ZynQ9g z0TUbD#||SFJ+`mIvIO_nKW-#=g%4b+&pYzv7$X*-C{NUNyd^C@bR19X8vHz zT$z-UA8JCCI-64;ib<84l#}QBk@}!s+WAUxT1dIzmkD!yQcgwan{%qT`gV*V3sRka=6iE5-JDT zOO+)5vDvmoeI+R^UN*lbT+?Dus>CvvE)rsrLXbF|T0c-W_-aOLw(OKkT}Iy|MF!AX z2bN}loV0reVj%@(&0)>$TF$*STeOMf)UC1_4w#nc3I0`Ow~_^+L$XSl9D{N^A5*g@ z`(m^z=$%Iuo{I_XVzzD-)!CCeDOFo0N}8He1EZPW$&zznv~Cr%Mo_5beAi}C!Bt|G z%KFWwlpjIfOs;c~TDs5_JIvo((OeQi(_E+cPndXEo7GaWBg+O^R#>b{(&u3{Zk4cC z7*%yNv8mPDlVK%?X*#Izs3~dBY0dN{Xl2sbjHX4y4mLcbM3Q#@ zZJ=<7kauKG*-MNHILeK^&l>#{_-p9QdGH>d*7Z;Am~y^fS|hb3WRttg`ni0< z%2k)!+G45;{o#EowpZ!ndb@VmdS7|;qr>MG6Wz(xpi$@W0~1j($BhgvugAl_uGizk zC|(HP)5F{k_uGg4r}1^4tREe26HoVlTtU~ZbH9FcF_wcdfM;LeB69Q1MbN=_u;#HdTbPUybr$4R2cr@ zcDdE7Nqq^i#Ppma@{y(!p0JNk&-6OKo8h>wTz(pEWjOY^_p|ufJ7()EGg+eC@36VB z{aKE6z4^|H;(Y#k`RU=Q+hzIbasqAH`%een=dx;qHNuv{el)pDa+gKw!wow9yREP} zh7R+$WsLWbd!n6spQnStE8TVdMvK&Ll7;rcZ5I1I$>!z1K@3K(WuT@Tk* z>co8U$f$dU^0Wi|_GpKrn*V-nrgToX!}a#TdjHg?&F8T|;QVOD*5`if>Co^zz@*-% z9xTmRK`Iur5wVesXzin~1bz0jF?7j5V;|TjS$)+lRB=%zFwS0qEZ{L~eYDm`IGuM;PgJ|(*sUTiG z1+pmWK!yA=meFmpT1xphFJV*+zm~G3&V0Cxg+P;(F>BYbyuk=;&M%!bs+h%!sVg;` z5{Z(DP}U%r;#6=uu8t|vI~g>IIE6AEK%HMMNg-XkyX7h+pvw_2n~b_boQ+~$mz~Z? z@J+GLqlN%2oiU<`>bPNR-%OZGpF2lV=EDZeheElaZeKMYy#U?a(tIv^b{H0~fJYQ6 zPDLTj;c3xoqr$Dv=wt!?0A4=JUP&TYmV3R081e@P*&_T|>v!%A4f zl$*^He_^G8eMg$Y%obYra}GrnylW&+7EIk-F3CNGSQ6_Hd%K`eB5KNAzu=L@I;mGO zks@1>0D&s?zvP|@1tXefgI(=Q1hQDbp6DV8U8-c@-DwN7L!<70EUF%h2V_^mrH?!G zTa=a0rYeIGVnMX%(EzK%0?o-bxTSI{VE6YICThjZ^%6dP+_;2MvkUR1;;R62X;pUr zj+kP#L&T|K*pPid>J(Y84y}W)Dy{-{U&X&EQHBKq3u>50p%5M*PK}p06xX(7J9ar* zqh(1tmBx;-b`gzLFCKFm%n^;J1SQGlyqjYpOdn%ia(EAHG*KS#?h8VE$5OnOdTy|J zI#f32uo_l5Cn)_3OGU0FCm^mL;Y*uyNbl}B79iafI{*eK+*5GUJaZMAc!0zm=uf=; zfNd{p+M*ZfFiv2j-Zukg)J~)P%8U%zb1JYbMV<~{oDQE$sTlV}eVM>|?)urZC5Zex zY~ujmS1pE$C{)WZxPi@~X5BgE2C$$s2kx&$w%A3sfXx>6MEm_3S*^nzy!)ngrXH0U zgkCzAvPDo&y8vZrX#~QmJGzW}+Dv(_2JH4R9jI~~%;L%>_bIQfbSdf*0h^= zE?)-pvlr+$w&*v`+I6wg8opN9WmmzGO}2^eJacfe>;|@c%2h#v^~8G~*AVKd4mb_o7sTGL zge(A=yy5kNM+1A2voNu71}mq&!7iYKB5 zBqFG%ULijVq&nnK;x3V%dRcCz#o<)>YWU>YbX9QIh5p;Z{sB)GG_P)pG@=V^9KLT0 z!uogb5Q^Qy!wJodUzU!>&l(zCJfo37d)!{%DR&Pa;Ru`Iuv?!s1Mix!-u$lcH|G-- zMf>L4C%WJ|p5h$xDloobJX+5K69`AXzxml;E&>0C|Y1;bnvz`GIW?*JBm2l#9Z z0uJP4igP)0GjI-frFjCVJe873-gW%-_d<+87x+Y>5*2gHzIx|Jod7%GBo(Vn#<$i( zlZHkeqvM1@>C0svunhD_e@34hs>uPvU3@M@{>p5?0&_HZd9y&xj$7V8u;Z)h;Vs9Q zI{_=r?rlA=Rri^gm&1d;anV~jq!W_lzJ3F2(0{A)+(GO27AK$RR$9V4tJcUdV3x$Y z8*3Dpcra23NzO;%5l-s65Vn+IBfEw)?C;>hqCPW5U<{mNMTI_~?@!)IVD!gO<=;XlU=8z9mSKHSD55Q+_tImef`vM<=+!*GFl4!3sA4$jz#MpVC zi&^~1iT+xyeNnQk73)Xq^ht5sXV9=em@FRf+`GxbHE?f0%oSzZXYW8le zg86QQbl&UAl@7x{IZrCiRf{}vHzb)I>Sws0+A|7*3ODKVc#7WOSARw`8>>l$WE@4cGFPAv?`iFop#S!SNpcR-pxof)`*!}=on74O)sx&loJKt zm7(>*_1xlgKq(r8+?G&T#`XTA`*Q2+*?Xu@m-q=Z|f%bfe;#oa!AP@h*kb{MggcI(f_j0j0Z){>dzeu0brfp?5^={;n z?62Yt!ttl^#=SXL&>9rG8Reke>&(9X{k7yhd(pYSu=bOUe5ToD8iv$l{2gL^i*Jm#;IaS}P`3Bv zop<|rzNk{JQ_2PK^|T22p91&H5KMmFJel%1pH#vnXNi)aKhykis09(+yo1#a8zNlP z^Y^?czZ{t*ZT8(3J`TFzYgXXT6#x%JUGGkF|JtsWMhK8+ION;$)-VV^;Cvw%iseIb zw2NHQS`=bMn0jPI1X|jBO~iD2%&xidXvOqlhf8!CA&KnlJ#>n|&}~1>O~6UMzw`iJ zbWf112b-}1LXaZNbk}3~{z;E%`;jw+TGb1z{$GL&1r!K_+IZ-#;)l*_qOA zi)%oOOl9=_O)_#MJx{0$erPV!yzWn-6Y*|{Hv zvFV!7I0O0h&sOcih4Y#x)idua^|8bI;;sY9cn1%!6MMyadr(|SF&cmH^p9nHMKpg? z>RLw!8+ztMaP!Y#zKi$eToo?@zKHTrRBU5t{Z@T`6W|=CZ;e*^Z1#XJ4m_jaT|J{e zDvYN+Yu3G$7-=}lU_UJPeI8HkHnNORF({ujHA%?kd3Db^l&Z!1eHyXET`T3 zH^CWT?H<_!dnZ>AYt6YG>zJX>EZ+sCJ=(5``t?ejzsLCCYSp&~$K4>JZDA*F-ZF;e zwE)fQ71<^yG=9=0C-`aZ_|AKG7g4xlFKiJXBRge}(Up_AZNy$4v4`nYsUk08OPbB9 zJ=%RmYP=A&=}krnGbduau{m5BX|hF5wav~#xJntM%&}~N6cQUnnJ2-*kbSITxkI5p zD<<)CBb2DV@&(2b;}R}0znSYzvw7hm0DoabDJN3^k_t_6!IG8X$9chla*-ABO)etscemoz znBt#&eRMn`?)+|1y8Lx=IxHg3z7U@uIO|nc?cu4sd_gi-s=vr=K zdoSN}NTffru{(at5qj@?wrd}EGoDMH(Y&0ot{qA{p6*FglK*tl=N%`J*8J@t>plL= z3?44~EpyjYSM-7lc;#zz8Kr^j;CR&ZM3F!qIq}VS7B_Tf zziZO8U246$rTj(UBVTAqap)3Jx((yYADT8@F4^J$cHnoe)%5jAac*4xje67Rg`Z@v z-z;S%*QPeR#Ho%oV)Nemo}XLI)^HS2GFQGREHbTWI~0MNPs~$iw!Py>w~~ArAZJs= zdcknQuvVZYpvaoXGC+7L>}2;So)V)eYLT?G|H-L;Ug*M_)`I@T`h?E?KAT}$p?UyQ zD#SNV*I12D*R3NvW^afE-HbCVA{2%5Lz3yFyy4hGCol5`{6wdlt{JGz^R8mvQ~g~i+PSpvYtcuO z*+`%2Fh>vh%8YKiSv)aaLwBoKtar4?S>ngV7^{v+9wG9Vq2%*F6H}~gyjHXg z8unUyidqxDaEGxPb!FLD50g!VFo)_GME7bBXfkNIx4c03v2Y0oaEqvqaVK|4*RU_JQ`q%vJ(A0$eF3PTya?be_D2A}OtHU9jeNT}&=VJ@RV(xqpq4M{ViSuKT(0$I@Gz zJYUy!yRF=-6H2TRJk`Nql+a+>ey5@zSQ$6sQXlx;%;H-z4G+MZX2_O41SjiA$!Au`s|fPV|CEORl0DB8_dNVpnxbvK{g=HTvG#)Q@DPqK zQeP7r6O3zjsjgiJ4UeqCL%+uTsV}WBZT))hE_mk%y4!?CIoy4PpbRa0OhbbYm+G>3jZeVu% z9#sCVn0gyez&2UFt>yH*0dceHz8SbWgluAyEBkoeHPb4#wcx%O4{W<1r2p>m&*sZr zELyb82`6=;4v9hVv292G&){&J<|>z{N&~M|wB@cVp}7pxfu}~mcd>{K|6#-O1EqFU`u2*5day;X2y4Uuz z2Ny|ZO_@p2X&wh(bJI5Dcwi3e5?3^n@4pLia(QSLR(g>ZV4q2Hh-z8R;de3~$|b9< z*A5Tue!nO4<`Y=GM{Pz(j=o8-Gw=08j%iwm0Kd^RO84)SFXT?4ZMX{bt2I+Cycbx+ z=`AJhB2%*NCn`q!k2BGusxlk4Xme%`2fNRLO7`EpP-{#4iS#FQq_gLB23+WrzQ|?j zU3z)8&Se|aO<&)>Z4S@0@L8s$6Tkg5|Co#_q$wo?&`#k zoSde^*>kMhj+f`?X%6a7Z8==qkgN643GRyK^J(FtzH~9%+wRt-&$ga*h|9lLr-W`^ zeqx$SzERrpCX_cm-HeS=+m>E6i8M=AWY)m!t?6DLigU!GQ{``dk;1`qc&4qb;8i^< zx_6b%DPOv{AZ{YA?{4r#e({7d0{`>&=i|ps8mgnJ#w+tC8qY~ti-~PNr{_?Q-qhNy z6%sd#d=ZQNO(NU~P1jn_oLp5EvBQDgn8`xZ^jQ%EKNgys1LZljFgJw;(y=d$Y~z1^ z_Z|)sEDGTNh73K_y`nEXD)IXE+^ylp&C*4APM{csnl?Z0v2xB|MS^VU(H5jlcg&p& z6$eLdBN>tq$wI3&$gxOsY$Sn^0xB^ zS0YT8x#(ejXiMdAiiOm6_x}KAK$ySC53w5K59^dOO3t|>N@Qj2P$Jy60v|X>%&SY2 zPj|`uEn9Dw%b$=0Ad>mX%PV0kd$E+0@2K^Ocb4VYzZU9SwgZw~YT{4BI^iXH_rPgl z-kr@4m*ipkudT^j?y%uA=$?w~;b=+A?n$%S7d16$V9PZXQ;-20o0i*3d*~0{`iK2^vtMnaCE^T+mN-u-x8Sh9Go*+! z&lWizKA_ARylZ%A9KEm{7-X~y}F`#6X%Bo&D+LBc<4_g`0$XQNbqNioHy1_)%4Rxs~vU^ z96nm@j6Hm|deii0Uv$2y_v;^Y{^VKdp|{O?b=&N19Wy@gr$u~JJ%&#=Y99PU(O&;h zw7av}=Ya2dknNC0FSQvNHTb9YURtTTfoyDFFCSO;hxOSOr?=F0Ql+u*=EXO7t!TuO`I~H!>QN(daV`Cn$!U!2o%*uvz4e?T?n!fm$O(a4+2^sO8%D9Z z;%nHcHJ;b!1NpR-`Wg*0bhR2wivzcGeaoNT$T1-MXj5P5d}sMBRn?a!1g?C%4H*ht z8>%&3YTS}P1*)WzFR1RvO1LweRY_Av;Hdaz!4T;jTsN9o_;Ux7iGBEj^o_+l^mtJ} zA$`+$S#7x`yxZYKq!oDcNl&mVcZoC|YlP}c%vo%;^k?6SzNud7r=lY-X^=Y}GffKk z0GBQERKbZR*6p{v=S$Ix49OcR_-QM1UR_eYtu_lEVm&6y9cg;YOItRZI30835BqKp zb-_*$?9Qx)7gz!nC3k}OPh3v+54y$HU$w>dR_;CX_^&ovbQ? zx#1hb{`7;*(`SvUDGO-B;dPgI_Q|K4Z-Mo}2Z;LV=1)DA!Pm3#^J%CCqlcecx$Ko!En zzb|-EO;)Q3P$WT?X11>gu2j=YU_=cl&hGyFwa47UJu)LaJ@TCDi5Tz+)r9D*;c+ceN2(EM<}V<>8m57hJ4L-)(ALe#n(e~*csYk!|WO*PY{eqKa9SH>mA2K zE|7b$3vNohB*&(BJJ|95%zQMp_(gAIIJEdh>vz)1dori$_osuZcII>@{(RUTO&bm2 zHL^u^HT%9%_elNuWotDQD!J1}Lu>X{d(Xyg$Lz(?QtA(TrO~oCkriT2*8aGg%t3y>uIYyL>^u3) zJ9Wdw_Sd{q&s!G{wk&7TM{AWqVxp25kkW@!# zQw}7FA$M|xtL!B?I^_ik?yc&cJE%tgzUe5++w^(8ligDp#S)nBbrjbg;vUP{FM6=a z=;Ifh3d4H#D|Hmzp_BRyKlex=KWT#)c2=vnQ{pLH?I{#l#FCin5bzyHtr{wGHAQFebiF{=w()oGrV zv}%QvL$g6%H>ktz-$U-#^}0bFcK-k}zrvtKkk<|BGUT%cb@jdHs|F?KwmfMTU!FnW z%Nh>5zhi!UgUTlcb@?%G4ZlC;=)!po=5;f=d_Lt9J8JJ9*UNajwEviAUjDf`onqcR zZW_KR@sVDEIamU}oLkiE9`wYdMwl0`QgJe$eN~629U-6F)cNb*ou(R%jWExR>UAsd z=z@CP3OsWBUSkFl2EJk)ZVqf08|D+Hb#-mc6Q*_XMwNK$V}17><`b56(eL%m-pVg-7t1>G{u+=xl|hR> zMQi=g=ke>v=Nkgu+;y@w8`8P;yx@^WuH6RYvxNELml(z~Jir;bbI;<8e7F(LosZ=k z(8zIn_JKyu+S3p8XtTdyQ;wpX0z}@LB3dfXwLaJacDB7Qu9SVeyU(8JZmrtEU>|Sq zr=XtQ;G_3vPj>h#-k+UqbS}MS!Et=)*~iiT$${X^5w3sD*?#AO-=1qdIwBot6xp8w zJ$j;xr}^&0d-PoHWV^rOJ-XSMe)Ar6D!r8mFeYQ{;^W#bZ8tm8_v>{8G7V?8;U7Ox z$C;hziHFZm^Pc=K%<(;kKfkttzlOe8QsuUR=NG@;#bbT<^~{5>_i;TJKlNPX!u3ci!R^-C(XuXDmV>G4+iLl#=W-Qj>bTqk zp>FH6dLnU%Z)WUg+x#-nY@c5SdbH80&Ia?;yF1_MJD`g$oD+ngKsRUI_$&{P&hqoedUQ2}^IhJ7_D821U&-NolRy9U9>ue#U+>}79v*ox&u`Fh z?!gSc<>8|7%|#2doqqA=3$u-W@#YJ&ZGQE6X1o02c8V8w`Nj7ZXS@973cb5Q*H$(b z-{ooJdvNo`^YeW7RJ;3FOT;(#aZ^2$llmily^F{BHNWMoaQ&KhI6c!ZURr~K_VcSX z+v`^yghB241wgZHei`V|K8JQCud0w&P0v|Pj>MH9TbfB&-EbmgPqoprhEPBH+)#fI{$_buE4Xp@ataXbl+cf*w2c< z(|0*OZo;Sl{NTw3sqio=`(B0W@l80Z_D;U#BZoUYuJk_tLc^%QyL+^2ms%K=b)U6? za8}EG@JQ>>6$xjx+ZQ6u_x)9*hx=Yu*IA`rXPvj@Xj6zmkNp&|@NB&^skupET%FyJ zE^pRxQFaJ*Zrov9ihcf}2Chwqx|nVcDyXk{m4ixZMcS9u zpQ)#XL0$C)Mlh(XUVXrUMVyscKLYC1E-o7ES+VssZ+TF39U1H~3)e34LCtkw7~|L` z3@WadAE^UPuYhaGiEvhHogGGFKRujPT<@N1pR3CEzEkMCVR{c-{e~Csfori=cvy0M z!7e?kx!yh4MTcxqZhZ;T-0QjMOAjipFF~5__{&I-vzKeiPen3=vg`9Tq%f_!Ud%Iv zhlSVv4Ue(a?Spl^#~I9L?#Mye^+iyRvzSjoJ!;e7$_R&`yAN`Z+dVvN1Nm^newv7dRD0&tk_k=8S&;S&UG`m ziujnEFnk5Ko2|Ks!?NwmAMj}x=#kSu=scF^3(V%0r?OsNayBUb7OKN3|IDwGAjPEC z(H+jpyZ6v07{{=8yQ~E|XD8rB4oqE+=$6Qs4Yx~ZpH+MBaD7@=JQBXI;v&i*E*82$ zS|axWH*WFb5uzvCpieC44qAR7GhddboB}QHp5aIi5k`f!#w^}2^251y2cK1h@1WO3 zp2?tyP=M32c$YjK5W2@aLK0#HHkusU-Z-la-vKYkq@V*IeT6PbjezQhQ)pvMT!Q?u z>1X*_rT7-vhlNE2XI19=4cDOk5&Ay7 zH~nGf*5{dHA^UjQki}>+J9!~rp~wzRF;pw^E*v{6MBhQn&SHpDmSrtFjy5|vEizLm zRc=zg1nvAue!E-S+dHdF-=7()S+hq2$JaA-kuQ%G`ciZ9NzN77&6aUv-A6pDQQt$$ zmRlJ{=X}}CvhX>us^S^zTTB+R4Kt{{e8~nqH^F|0#_-JfJg8tl%e4t-_3N4AKEBun z9nRZ!wGOkrsR+u$;KcN-*5Vg$Lq~jUTTcFKqdVlu!m!3TsAF%hnUNy0Kh%G;$lxI7 zBS#8nmK+Q6PKr_@WOr8ugch=nWGYO*pQipX5=&u+YuhmD%;1cX&2e{a+fEs>=+3tI)EaWeLQe%nB_>r*~d&2ou_ZoYmg%{WLiooV-Li zJ&V0Wxqon+lJTzi!?HATn93rpi|bE4H${U3obX}R`Wgk2*}z78*OG;og%PJqTsd(% z+vYnf&fmMOa)l-N1xxiV<=U6O!+lL&HT69ye{t$jpR>6`&u!7-Y{>Qq^`RxAT1h&) zx<7Ih=MvkKORxz(#k1o5y^)it5N}#e)w1>EKw%4NMt6BZ=Kks1f z;=_{ojs2PDE~dm^;_xjAowNe&|QVtkf$UFAC0>%~#RLbg#XWN8=q8ByTG$JGDG0+fqfEL_eB zbQr7mA+Dhu=8?HutvD0Ur~@PDW%Cj6KClcqENpXZs7%WO?VfsWh_ahymk^4s8#G#n zvTej7)km-l9}v?sYoE{;&-esKWY4BG%>UE-Ry-X1LwVA&(zwHuPmcen~Nu-QYW6X7PltFxQEvWAyn?km{Lt!%ayY`G}aDpsPAYoI{{S=2uHlQ4C zV&z`fnGT7NbWwbZ_2L=#-~?KB9+Ub?7>S3s5CF$qp0GASZ*b zVI=XX#nC9YRz%=Ze7(%rf)0Jb4#kh5Eb427O7ny~c;af&3J6M=azyhQC01x_ea2Kc zK#QL#xPn!j55vd@F`$H$r5>lNykIN;uR939nVl5dXNC#PFbF1f5cm662wONr|n^4t)i-ip0gPJUevJOdnf zSyxjoTSPzQmSm%JPfh>LMoBE6)aYSZVabz_7b~K3tkR>uI!%4*D zlh8tJNRHRsW4SZR#1R@1=LKh`Tmc%M%WW!0YDpqG3`PRE#qFH+GY-WOT22PpXwejC z6$XzIFo}U^ic6wg`7ho&>(Zd7rYJtLxE~3j+Ul&AQL5;{=A;X<>S`^rJ$U5}dTNRa zE&hl2q_(a#$C8}WtDaUsC$TB+Nx5&==0u(`E{@RTnTW#6i7L_PB1R-O?KIl=EQa{& z;vzGHw?R*hQK99$`uNkUslLugVdw3uT;yP7#D&CbvG8b@fZCi&^K ztu>0c;y4j|mDgyQd#vh7Yg_9x{>BNkK_b}~L&vGX^oegvCV>nn(Yx%K4t%MgS34&) z!WDm-TFiZJqMsZCM#sxb<#9;uc(P~~hs=(bKicDl%vLMM^O=_~0*)$e~wA6HzNOrJhK}` z7K@?CmTL5s9U@JS!<=Ad8aoU5p z(h=}W~8N?a6{l+k95y?DhFse zff!!kiyP9y4O!ys_u&S4_R!aQYKQ_I8PO?$VNQo|!;Hg?Gxo|Iw87iYCX-6-L9`KH zRbH;!f@lVKM6SU8)Kg;==rzk@%5v6>lLpZSho($wEkQJ8WG^RHhn^ZE(DGCaSXg@u zO++SJ#%(dQWy8pMoBDc8Z4u;18daP;-(zUGOd=sb3!E$+nNKM#1$xa~Gt~vwn{05G%#_knpyi4- zn0Cz#!IO#HGJ3seZipS7NiVn4dP-_3(CP+@4fouT#E7!h$`L|t2&GoYCp{pYvRdSN zBKa@wr8on&WjjfQ$ZjqR616Dh=?{<%-=OElxMFybgm@)J6b9quI9vK-=F%J17anwRf zc@_tJzxjs#C?$(~U*3&<`6-*_#vOHYR!^ga#wnY{puWMbGU6+y0kj+^a+{H<>4vep? zFBo?oiQUi)WXfhSOm<%63Nb{+1v+M4rG$zl{Pdm!RemFx@%9$a$Sn7l6`d+fA|k0& z>2=WQG6S+=rPnbQRu)POr9brC^q9c4+OXi?Zlpl?S}V!wP)LE9-y*gxf83w?g6WC3 zDQ<R1C#2$`W{HMqr6;n+83% zy_KnBM%;&5*2)yx4O}~uY=M^bO3Zm<+LLS07w$c73P#Y@YY$onhlD0F)eoR$=l?-u`{a>Kv2!%}~2d6fOh)ZS|KPEN}Q?NnLZ4ZuKvb>e0tOr#{=OnU7 zN}GkBK!Oinz@@&@bJLS;EPGT|a_I$kJTCDQ%T-Omo#;v~{=D?%m|LDB{q6((1fj76 zJVa>5=WZ+k+Jhq=Xy18r7u3r#Qm9Rp)LEDf$#bKWQZ3Gnd<8k8nHIZ2Pnj=NyRCAg z#4)!!ItFatTrTgz$ABB2ZPV&YQ|1e~N^->p_T}0*BxDGzgyU}GkU)_Zuhcf5JWZJ| zVib#xij<5dW*Z9wL76XxqE<4gU4v=Ld@=k4E0T2@M&s+?fH_l0olJ(0kgOL{ zG!1%cisBBe{7i0yF0Txu7;I-Ecd526HO&iB3Dup88ClwZ&_!@=~N&Zc<#3}WKOg~jC=G3kmbIy?t zWenp|GZ7(CfhT}&`p9X@eK94_BOxIxGe`wzT??-CZ2Hc7#v{FuWtOXFgMO%k@hn$2 z4OB4ntdIjY${8dGyB2gg`jm?_ zCAn~C!^x84abxBbj;%aosC2W!v1K5oPc2qWQA?jNnU{t@HIZ~ddinf?x^D(;tLqS6_y<;BfdX)v;&Z&v85_QDmzQqIdSDDRD)umLnwc{c9qKUK5f*CY85Wdrj=xosubUg@cA5 zlj?2l$lCg2v~A|IU4INp5o>?uxoPdThriz*>;3jvc27O`Emz_IIatIxD&tZ@0YkAf z7K%`i8&ZC`xN{6q?mKVpTMBfbDlWHJIcxOJ7k|N!;48j%{0FuF=*0`hUpTy_?8ysN{^g&NfRe1m2({ucye;QEnE~0`bv5;TJ?XIdiWY@M;m1uz> z$~!-P%3M)sYLMbu*1%t`wH4M7Oog)6q$kBi6h|$$UZgZ-t|+wJx)LGE4N**nyI8I! zPN%|+#3x!vlr5nNGNvhW#pu=}QWuM>^+X@!tr6Mf68DOSNLQ-dbFwUbhg_*Om~ySl zL0ayo1cBQ?*DHiQ=!>M&g6zqjwwN+k6qFg=bk-NS1nAOT6nD zGVgY=>6Epiz>uTl87gBy?r6ag11DdlzKS7<9mQXf{n%dN8E@qXP2?H*pOV~@hnJX| zu9wobv&xC1Ra?YqN2WYK|i?>oQT=`wH?}(CANkcqN#^lJ! zg`e1w=Y}ZAhKedOPBkML#9^lBWTWm6Y79DD-ca6Y&~rnCCkH(bhF)3fg)*HcJ4ozEq!sCZZO|9;Erz^S zHe$f#PF%E_MOoYyLY){rjD8%IrS(pvp)yK!X-EVuTmQNiW+Q*KwTVO-lEgl~JJCaF zlmeMJdbeKtYjML^B<013*ScpD=~6r(e3?-0Xq-B?DhITo4K-3c{7pcm z8ji7WR3?mUBerLH3i6s<$^nizH!R9WBHh#At_5>6?vYGvyU;#q;(+99_ID;`5&*KdA5(nSx0nk7$@p+(uTH^CSGdYEe zT8U{e`{L;X*R|?+8VtdBdZ<_$&A@nC5i*U3U>F_DJG`pK8k%W5593M9-O`OmV?c*_ z@H!r0PKIPKl>HQMZ~@L|WL#arheI+B$1FhdG&?mvKOP01MiVotrJc-a9s!*jNIi^B zW=M~49-sVAJkZBF_(u~mo`0gpgBuU;@vvxv+cJ+PWt=}@zCSHH&f|;VI?nmlAMJ%9 z%=sX3>MqdPIY`@bM}}`CoG4)Kj?0h>-!A4?+?3lmF+wp zzOgE|n>}ab8ZtL~&g9aEv)gm2#kYAdhC@%Zh{7DjWC=@7PP83n(Xefp!^s59d64^^ z@plrAv~4EuhV+)}I~cte`M!OdO(+e!HK03zT;Jn$HDR{~j}5OpH;Q{?Bn<8C=i4Db zdv_LURNupoEw5mESozS_lD)N$HPmd37+uuY_dSn+H^icDi$E6|_ zIF-*2xB@BL(`u_~!yH;2o&5WO6DQt;>^RK{!`jWMV-9uT5jJ}v=FsXcJSUw~C)eh9 z7|1IyM;gI2r0;yF)7yOjIU+H<0&=7nd;xOsf(E39S3!={gQ;b{5OOrY`xQ?)Qj)*$ zlmnw2j1GAfLb!4aI1!z%Ox{36dj2)f*akh_P`~Wqz1rb>J);=R zpUzPk^CxJ-lFDT9+#5QF(4IrHsBsDM8JFMy=H9OS^`y%)>hw4kEev zw(j=Zdel?A>;ob^hc?a98p`re!D5Oye8!=GMP5sP4E$gn;GxZ3UOR{B;BQZfG$t`X zU&TpPWppxZXlTq%Y4+x7F*$4wqT-K6w5+JytOk=vz@h(N7GEk5^0#7ybU5SRXLAGV8qFt}v* zwX3giSjudMYk5|;-+{t`fZ9o@C{Y{bd-w}b>$k^Tv}?6*#Ith!q%mWL!^4_6D zkpXDmcTk}3m@8b@h#w5cLa7Bvgrpr}GCSg4p4I1%-`R;@x1q_EY}Ta_XY)ij;&|ND z=S1%v9p$_s2XCV)sx{=t46-w1i&Bj79w{TQ$cwCq#oviB_Z4`YRXau2-HF<6f^WHP zcWixKx^ps7jTj%kvK^p0*nXbp6&j{*xv7b2#5pwQQCQI9MRsdRpZ()MY>Og0c}bm> zn_wD#qbPwAVM_2h5(8yJd7%pD_hqe)5m$0(tFE zMelzkkv+zFd>`GQ$-zQ{{$lsb#;}y;O^*kfaDN~~k*mI5Jy98~BM-Rxe*PJi^ym*b z74y4uFlpy9c$m9eL>r76;#9+LL&P2lY7*j_PTeB4#?`TVY){0^2bh#~tR|S~mLv%6 zHpq0n&dSPXT!H;XEQd`9A#KOA4RnFW)og$kfhHK24RFXDJMNctU56GopG*SY_E=7( z_kDw-tR(R5RZR688XCMd`q|)4E82n*1cC^$$&=-&X2S+2j&4*RomM2^&~!$SQ7+5w zZcKF=8l2M(5T)Gd(^=Ua)}ev4v@ZWV)n=%0jF}42IHu&33)e2i&*i}Fd+w5902fgL zmLpF#vU)@EDmV(nZ(BRsC8H2DJn~EI8EW(qY~pDGchM+nh#*c4 z%}dR(u}Q_CJKT!`n9T3HPSOhdROY(5TDE`#_VSa*qw�#NnaLxXv)PXbT zkZGBebxpqNe;J)AAulZZwmqlZfiq|qY59&}Eh_6#ju6xp7jn;=LIRCwQ(6L=N%R?7 zmG@_@(I`lU-m;=&8tKeb1>6*JUyxbga|UhX7{}RV3R78YBoJ}U1-WhFiA2IVG!tIX z$aJ}mMB?UUTuK(#rLXlY^f`fMK0{PPN)O|jo$p~(RHA0QXd+b zEjcm}G}UrC(`CH;u@1Uw7uiHN;S?J7oQ`B*R#IsgMCOmWsmcA^o9HH-LUUy=UK1Ea z7?bvs0QW&vMH=+f7LU*fWg^{_5N_9O{DO4x%6Q4V_SZPIMZReybTdeP>uV$j0o8=w zSJv92sf88MC)dn;BAsvwE&eprB$DSv7!#=*!o=W_nrYBeTRcL`8?BgL66cAK;EXlFOeMrI^wmfI6zb0ee`S~cx5 zgL!EBlpSYvbekzsX=2-QhZ8L^u(UzXjZiMG!2B)Gj7Wvn$pbo@t#t0ffHMru5aTp$ zttTQ23LP*w>NF;nr$&B_NqNYb7Iq!-v6kTzJz$z>EO6O!8HxK$1|gTb1)bA`%vK%q z#i%v;%>iKq*P-XkR&G}4!TgwR5X&Z3al4?p>QG7-RE)CDsBF-4?=+(RN#nn~JH2by zB|u@MJGRu|t73786Z+D;AXQ1b<=nmSdO|P^8EGUxXqLV#Suta|`Kr>; zU_CCFUTGXb!zk~G;EWSbkCu8$=%Wxo>s^!sVbzs(V!UQVxUa4Lq z;$g)p2|S&_qn3Ku3*M>1ENUmhgRL64;8q#sRt^Uob(rEvVWs`b3wQ zq5)#^4D#LY3uHJ=&lXH(iWF#Z1`ud;>NJZd^ryZM2<{G1-O-HJ(y8cX zy+7%0jO9WgxI6m&6G#6*mVsW0hJ3M3 zalRT~H#at6S88dg2^*wh%TF+SGBf3~ zoOFybhEKcRoEZxO)a|63FhL5o>hs}tGs>Zy&LlJw(@68p`5f&onwpFesn{zwp+gSI z)+>b!`ND{Cb91GjnANf89ae~e;%YEVS{w27BE*RnBaXx7K4e?3U^mxbx!@Xf?73NP zjgFM4v%T?=+$IrydW=j)i6}P1SeAv+0&5A^#I^HiCTi&Y0DEqh0Rc_g_s~<0)gfhS z1|!n#op0y;((bLvFcDpEL;|6k%5pb9+89~?sKnSY_7%JAN0l$$Kb1^0@RoZ=`esQk zndQskp$W@mGkM4ORIDy{O zjl{L>@SaQ8XP*k~tG zmz5VEwxX7|I%TO08N`u@ltvc9gob^^D(|tmglV%~P)OOTTD;kk?rYdrtnwaPOhRO0Rv+LU-XeI<0J-kzpD`4Tg$i7x< ziv(Cqeyi5PK_)P08e7y|o`wHC=?u*bviz^if15C45be#+Rj3N{U$zh zXxJA70*=^*ijbVtbR(73W=^w;U^H^PU|$djIAUu(1I4hV%&3QlkW`J$w;`JNvM<06 z%89n@wXHlZ$Bi7`jcd$-bpd$s4A7GoSsXR!HS+iTf6ZAay@uhavL@}CG!uHwIX3JG z3M?d-H+l`zrX@gWq8|c%!5oj!%+q67oh_H7+>gGp=}hj>7tHYpP0A2mKcu5KeodxL zjf1OWkF_}tk>tZ-U)V%S1aqho@Z#vyOc*t%e%a;cy>ct=o?DL&&e2@Ncta6ClpVq> z2sy5nMr<$_G&iDNj0> ztrS6AsUbQ(LI1ZbUtjJEm!g&j#lXbwTqyNPgoYVR6ANJ{`HXPBCDXIE+vS2rM?@E& zymD0CZ7onTchEBDv_3bJL>Yp*uWgwN8Xa=_3W%ISu_^{7Ig+xrV8*x=DCOVFc4S6< zJC`QJ8ii)wCThO{u5%3_Bjrh$^7FF974Og&ZbhcN>7XC{(^8^jU?lSnTr8C{ zBxMq4xRw>0Q*&_7mHs}l2A}*N3 z94H|d&&eU=PpQ(R3e7=E->UPmEvapRB_S_OBx~E)^a->%Rog7n) z3wLUo8virLHpuSCfiSpXU$8{#`Lgdw6lGl#*szdyPz6#MuRxJWVpQ_3-O48nn{tAv zDjf52qt`V-r-f1Vxuk9*5pIO4Kv}*aU$8`?w*}%$C=cx!AtUDpB#JW3mT{Lz*HiN7 z4}IZslno%ZA}2Gw>0r|gMxQ-=;gS$x$uK7_^$mJLuqo~WO-QJ9t;*dY=SRCyVu))Q zB;mL`Ph3rS%v#& z9ULbQbxY2l_}U$CMvVD5x62thW`}&HA$Jk@si6wjUG#_2CeJ?b4t8W_|LTV8g);m_s!ipW55idjcuMBu`2o^Zu8_Iy~9Q}?Wxa*F!#_D zRBW(13aj~_uq$bzYW}D9C<%oYMV!%L?g`$c{&+}2&E_)`1Iwd_<}(LIhZ(c99NUBy zqqIt7?sbf!)p)+R`f|)5PZpZ1AK=4NZ)ngLXxNTdlsu2+T6hB2K@M8K1t?)zZCsg@ z+o0!UP>J*8#i`1_vL8!SKPerXNfe<&jN4Pv;I?I6y+3pPxwy( zMK2Ks`oKuaHOiDQh|G=2q&8aKWWt6yg?1{&OwX4q11%RAxU`@6)Kq>1`chlcEwoM# zhSFqBX=vu|gDit&MK35!xG<;Cw7f&Ggfvw;L>7mhj?*D*?P#7*VJZ_6rf}5$R9$Nu z3Zb(OPom=$o}z^FwoM1;ojwokNLyuwL{k>gsgtsWNG?+==+KvX1aF}uErN1%=B?Y# zlID$^d18Ze?D-aTPiQcw&`j60Q1ej*(@G9Q9A&@Aa;Ml$W^RoK=qy)?XFMYMm!$@$ zGHa{u_YHYMf;mIZv|uoqexYZ%+>1<@V`qz*He;M{VDyU7>8_~G%lT6i^m1}%geGD= zQw_Z&vdv?^ye15oQ{<#fgN5_IuqOB&32q%L)mC~!fH{NCOvH}pjrTo#A^Dp_a8 zJl6(2zZVbCQTCao0`77sov%9D*izf*1+xkJMQ<`CJZ z^W_vd$0w-kHg3e7WZP5$l8k!%)(`(V-Iw8HBL1&AYha7oy!SU26JQ8Pkx!u=xnywSl z%PDk*Xt^2`oT zkn!moFIKYriOTcmZe@91`MxPYkQ7HJ_%~H$=&v+o*Lp2I>Gvp zfRe7j0?i-^%O-)Z(x5MdhHEKAW(gq-qJ5OjZoNkY8yez;&`?ZwmVlAlpnv~Schbut z&zLQ*g`C@3hj!sK>9~iEecN6UjFIz<5xmZpVMIr0hz4c$X>Pj60zJRnH8bwp;nhfh)Fy^Lj?Rr*!ThtE`YW#NEbZw! z99sf`E!+Ig^$MZo$<~6loi7nu416i!Wi&Xl*CkB}NN< z3s7NVZP4AYE%W8;hK2@(6K9%pv0kCHsC+JjQCZG(ip_*zzeJs~-WY=G1xkx2qXDHv zE}!#v$??IB7myK*9s5EdeYXL_`Mcb2K-1UcaW?d12WCTTw#zk+cHq;7c*tUokgph` zt?e8AM$PGd9lCos7*X>Y-o`qZQS&;?x#@WcC!I= zYyno4677joY^&^kt8qzRk_(n+#QT+J^hkkh;Rqcobbq)Skhp+U6urj{c}`$CAmf0p zaG~8&fRTNSFx6aRGOiccEBC+}jnH_9BvyHe7FmLX>$Q92IeX=ROrIWV?2#l#2}Udy zy{b@1hn}-nG&0I^Elb2-dgL9wizpSL{#PUH3=2AFo5F^DfxdFYmVIFeqa?hl`QZh& z{|YOvAD$QZD+g#|Y@33!kowmeV@EOts?XLe{Q`gGh%I+w(3$T=rirEv9V+J`*EQrT z%udr1B`Mz~j>;^^UE&ByuZDer*?GWjsiT$V`F-jrG7YC;U+E0I!*01FFRs8%>d3gh zAQ#AF2jDGpgvv&`$sBo3fQEgAYaO7ZiodDyJ2fE+yPtD=Z3$7jcoJFqhJB?2=^mSm z=o+=1DQ^kUz_bO_m^<{92Bdpvye(*PNN%)@s6lTYL7jHjp7C2|*qRd!%x#3vyyZky zge1awZP=Ik0%daWG*h%qy0idZ-{a8+F`Vnv)|31#DMk31bJ9(>Akw$(Z$ z$Q-E<2gq|8(>fu@T;XnvkcR~8vuU4q^gyx{JEywsi09cc6m}>_B7_v|5xSYkVAjvc z^DZ=f(1Kt&^h%%GEoxtc%gnQ9K!=ph*ML491nyS0F!aAXjEgUBG%hBfi{9)EgcC zn3#-|ncNa$QM=4orkJl~zITIrJYEY$HpFM(76o?>yy{pXoowE^c-F}XAQB$WJmwj@ zW%rmwJKW0RUZ67a#A7}jacam<*k|6(VCs(`Q1gKQLVL6=Z(M?V@2M8AwJn?A9%5CN z1GYmBt|@uf^3^(!Aug6R*a11uK#~SDxSC}75Pu2iVFb_MnhqIpK>ZGK@$zOmh|&H7Hwskgg;zHRUtT1@`{wB-6eg zL{Fm-cwA5N^3kIc!M))LN5kZ-!sa8~ybBq3B)-UOVpPxO85wcEl*-ttRdQdVeg8>U z{@l|Xj8_A8jXsY(E^yJFLG?|gl91qX)@VnQ|HGskjJX`UT(FVK{EFzu9~i^x*lrDv zN+B9AoEV6@QMuB7#BSxzLWI)HOps^W5_h2d4L=-p4l=NwrK~8?uQYKXg1;c7Z{p11 ztwugqW~y0z*SG}at|i7$$J(YGW2_;kZ@-tXW=AC>eMhR>wxLk=adPrA*l|}bT+MIY znI}qiXDgqhq8+=%Sd6QCDHlN9*W-S0s&9}dHfbWFrz3fxa=|3aU-NV6T=cebM_#>| zcODTpRqin-c1T~hpYiSYtbO8l@NPb$HO6Dn>8?Ry>9vR0+JxO2jUrE+l(^@oR@yt> zl${SeP??EJA?F_*!(x1Ji1oS&78TB01J^$|?=gLZ%ka}Ic@$e(Ah7GBo$I?`vYBN4 z1LV?`EQYinBhT*)sysp7(3mZWrKd^!TGYu&F+ksB`3gDvvj1j`Z!z`xNIX@A9U2<-cZYYRTK# zwr%<0o5Ccv0f$}BUS$u}xALl7^?CEHE0OxJ4El%-GJF?Q+ke^Dgp2;mV*aB12ETC(WO#pUq!A-_2pWqUV!?E}Gh1 zx@HM7hTb#QU1~AKYM5ilgR7kBXS+{d9=ocp=>N%o+2-ZGrY8C2ZBl!>o?M)WP)s%u zV$e|>>54mlEp_Wt&-Cpnp{U13l@##jw%1>^HZUH>F-e~e*Hu0x#H#s_)*xNb*7&3V zqWHdEN1lWwpU*M!yArr88ih0cp{9+xM!G$r`3I>H$5-ZMjvAg7`=Y&VFg(&&VX}{p zx^gAG@e5}hLwP)t;sRyi#i3hfGpDoVcGbEvra75pt{9CZx>nCsJZNbZWZK(xxxpoD zpPM#i!lbZy1K zWiM6U`>l=KhEb3E#8$Q9^9Er%4&Nx2)>raOC9F9~_N5iV4ldH+`$D~2bDWdz zY3L#*7~ET2c0OO{2S#|nt8j4l+Xrp>JD#h5L*^gM7c-vxw54&r%YTtFi-v3EQAC7| zfv|$T?ekqnK3z2TNbanuJGnQOcD2*VjqGmY%>bQ!U5zshCAWfdk%s5LNg&f$Z+9GG zJbQOqaE#-|{d_KO!F6(4u`?3Ga0KQ$SzD=v#6wTqdBmQ&yT>CZZK7=UD3>2Ehz~Y= z<;vuQ-aTK`$!wYDhD{6DE{;hK5zS<7)9x6ck7B!(a^$KB5;Pj#Y&xDgaM&WsLa`}BliwQkPC_Wq=_D+xh+?)AXE0CW+vU2 z2|Fm@j_$5Cn=MZDqOH6*kTNsJu^LW&_3dq&itFMGJr}Q>p;?<&iC$JycpzSuvLaEU z1=dqBR~+h!icQ|(D~`z>y3BURG)KKoMDeM&kXX>M#JerSLVYo1!B>TEFyp2jXHTq; zB?i)w-?=Bk+g6O>M+OM{rNrLrKj1{)$;ENX8gr^*MDO+*S0$BC4EWkdq3J2B!kT5V ztXGF@x$1N4gs&MSXtb-$<(yQCYbsPbl$}#%?HQRxHm#Pfy_#v6cNp$1ISRfk5Y=%2 z*MCiq*Ookg&ofV|6$CX#yN9}j_mc$pkgdD%{T=j%^Vw-TtehQX+!#g4jyOA9%uUQM z5L*p=b~s9qg`1|Ss4mjB^^FfFtJ-B5yPa)TVO?c|%ZhBRCi#pi`ti*3BdD79v#dboXt;!uq58c?h5AhtGJ6)# zOO4miv;|<>2TgJGnw;da$&*E9X6-$7Iu){=RnPN_#v_jvCMz?)QJX%IZX=H#dOUC? z@k$28bSW;C$7X+>lM6CXxOg|NMt;ECNc`e-Q8IfuOJibPQ8VsJN4evQdV-Z+if10b)Bp|VlBd?3YZ!GqmJ9k@X1$@S3{gNsmuzRd0Oc-4YmUyvF+_lt!t)dKJ@wk&6`OSnbwteSC6$X>?-w>z4M>JjK+US9^@9^C*f#R4-jl z?Ap;|E*JcCLU;1;b&G#y<1iF7hm9zEm>BRr^)4;YjXGFRsHSvm*M~Mv){E~vs#&tq zoo-AHp0CK{X63>5rawIbu;(NN;+w{1ZqKv0;C#%~yM;3L*VA=`e!wPa9*2l4($Gm(bFcc~%S?(w-vCuqy+235Q#LR`R&Av_F#;$DLJU({M z#9-^__}OgLqPz)VpHXkWas`m)fV-fYU#Y&YRx<(6<6wFT+oPuXyOJX4=G(5;{~3vRt)}dAtlfZ8V{i zKtp?LyVI?poE-ijog%xAs3^bVi$+;kPd#$06q|Bk?UCWcPs`%nOXwK)WpS|>iY60U zpEC|SioIyUaPa-t7gSO`JgN1w?%~Kp0HRL=VYBWpP+2ze+wIT zJ)*Xyskg#>=EcrdU6st#D~#j=D+g)h5>9t5pZUbFq+H8g>Y!W$Dqfm5uN4K$?^=z?3;~e;b9`vks0;Js;8a3v{_<1cf4Djvrv#jho(0g3-}5J)rHPzf#23l98xbM#m|ijZNIRjrjC7Ga7_DVZXDfrl}#f^@Mgm2OJ`s48ehvAI~uDaxmM&9bz5C> z+SJY?WUNeIljEtrR`6S@YDLDH&(|XkZDCgt|BZ@0w&zv1`rMt!JH3ogFSd_O&a_uN zQ;%e3)1T)AU$f)oG19yJQYX2Pa+tQMRmj9ITI?o6*)C~1_PGIWN*UK`q>~sEF4>oYxIzUfVVbqcAwqq7MW z9CLHOFz?_MkJy$BNlNzXVYj-nN0EF7-rt)x{_9QzqJd|p4taNx>~qZwr`soC607s~9P4jeHlpk{l2mp!oORh%Sc zLZhpE$Lm<@I7aKa{MY=5_@1Yd$0z$NB8>AmYMgSsDNn4kG_B5@r&ii-yT%)6*5kQ2 z&>LawuJj0D%Xl&M>mB*3m@GY;7|J6%ovzl?dHe9UsGFTIN)Ico97&||)kj1hvu$>W zG{5c_o>GzB3|Jn%DuT2&$6jI(IH_-#koN51M-k(@OqMp2%sb^=Y6SginDw8O>#wuM zi995?Zbk{23u#gNXdmUFc&fmtna^Vqik(kqDEz{3WyCP_9OA6i-j%>2TS_YP7PvKKb$bl-JC8voq8RRAu*V7_ST$4Iq($r5PF}jStDrz?&A4J{ntLoxBGn5A-g?)6GIsd z^I&}gVS-e)xHP&4J{YL%le+8(#dH zB2x^VQ4+H|SI@Lf80Rxm)#mgaprf}CPdL!jXHmJ`3AmmI%l19mVHM!lf?Tg*4auA$_7fisLO87vw58{@ahklqNXfs&$RUf zPmW35$$9H4GM`R_wr?+@CouZ$tIuK#)+tq5dXAY73@*K3SJp*}-E3ddInTFvyDM=} zo$f{Q+l<9g^SbTsv_iW06u{biG zayGMZzddbbVpsPGpV$3ZnPDcK_2J7S+#73D^CvrsDxT?bg)*mP3k~x_S36H;txFxT z%PC1av5l*=Q>I#|tcWiz>d@i#<3iMz9+^Ke{TQW?aOicxPABEDI@;B6-8ZuzJhO_8 z&7yx(4mHfmuCJz!W-Lu&^zmz^U0_5V@vrv`7}+&v>E+m~j~ez#zCU-i!CQ1_F-q>` zYE(&^qd&c%m_#Z?DZ;b)IM+urKX`etQGGa0d?`ASyYY$ljzhe9CeD=sH!LL#t}0 z6Lp}|wSe7xOF+uRc^BjE8of^`3y;W??zrnGr#iG<9jCTlI&IiO=}ISRWoLy~JW8f@ zzB{@)*|l$0SgEgo$F=QIpX)hmXYGUa#`HW+hnh$+B#WTB7BueVMj$R4l1la^ipx`9(U}{c2n=ie3 z!^$3I$|lzbo=cTaK0ag3BUeDE4~oeiRf%mCwbpgl9N_nJDjX797~rnHE-YV;?l~c& zhDTiW%iR^<$cN-_T$07t+upB$-92#zYs;XHpo)~Ylj?16IkT6-V6vDu)>Xp#6Ymzo zD-$x)oYSk;p{=i9kblm#z4wu7f>IVPL;k2>wS`>ffp1j6VR!8=`%@OK65h|PW~P`z zz2Nk8^D9B4Y9CLOSOlC~*y@-6kWZt>NnL;0h7WOAL~&Erz! zbnIv=mK!Si78|3tZVx!zr{b-6>7E5bL%M$c*`CL0FN_rzm}TR~J$7*4lKJ8$V}6BA z;E**fWx#E{K(3Q;CzlJFu+z!D;KS>oYQo7HLGczmpOaBNp+OmVwFp)Qb)8oqo@7XS z2fQxI*9c~+;zU`vtHu>|P<1-k zT-I%h$fs#8PG<$0Q^aucVljcwEYv$(LIW$#$ z)wk$4)-vRhb79mmDxa~OFS=MRXEw3Bd$XM-jhC>rv>&(dfG4eax9zqT;*hd`AN=@;UK~GH;lfB|WS$k1 zKT0vHzI-kXu_ofi$@aRNk$WUU-m{Oj1ui`OG9}cioSuV+r4ed+H>wm?Ua*d*d&CV$2uH3Uaid+{lF;hE%)9qY> zTVL;AJ&*28xKypBb8Ae)D%|PLbrDfbg~Z*|DeA1(zP!to^ysV4tL}1^3C#$LG2bu7 z$)GA`%KAk2iN}P92K%|uZH$dG@#2p1+3uQ$aO3Y1eYkU!g{E?MdT`cAO6eJ7_Bgwo zs<|OyJyg_R4R327DDSXwcyoB?k$-ubG%?js6 zDvi7CDXB+y@dSoc&EHI!gq2JeW`}i)#gDmOvtMWEtv6x$;4B&4rxE6x^7_Gbnfmm- zMc+j6{Bq+Wy}Z)!Z52FIim6Vk)7NyqsvXWdjJo_udR&*yblK>nK|@>2{OEPEPN_RQ8yU!p)*OVQLW_Heq zhOgkcB5LJxY>=V)R>hHrRuY~axqalU-E(bEGneqM)NB=}GVKH&nA;aeY9T%J3VqKGJ(dND#3&ed<~DlO_8GwkH=Sd%AIx{s{N#_sj2 z{y?7K@oRd!WtkOs(Hq7ckmqs!6rr~y!x@z$BP?5_GICY#lMLma0yEQ`>I#v_mpKg= zZA@-)u!axlkG^FTqNoKwM5&&W(a!rAogIHcgaHCLMDBahi{IYT^Uc=?0eEr`lk1%t z_jB=KRi7^F-H~CAcfRMI5>QVc_w|@?L0yHc!_`OS?8ZyvA3Yl`nm=f#^G9Au_)<;H zro_c)tdAP)JR#{suhO8npvIpVPbJ3B-Ee%O@Y$#HdV(^{)&@H})1SP?r)+~ebPE<8 zkPdpB$ht_UJ#&=aQTVqVX8s+$(Q)`*Q+6`)0iRb{JOt=)#@ny%;LQL zr%%^I)+6@QKwqiAu8dfyJ_`|1#s7wrs9fq=^r~7~+>giMa`AJMChLRyLsmjU*1zD_ zzX*h^;p|nuwPDuRtAbZ%!!YYJvqK@PpIMg^@UyjE__i?mD(uYu{p$<8^x!A6W|LHx zzczcR;umJaszPSEypq1nEmnmr&6m)JEDyKgm*_)QM`Gzi)+avV58+21;*R3*Au7eI z-~6Gj(~A@Phbr*vSrWnD)(8EEs#f0*%1sSb;>U1a!QVdMyxvx=s=mdyt`}lIeeuGN zO!TTwR*m5H2QQ7$4?SBg2%7X?A;SmYKj*%sS7DjM39KC-)!JIM+J#YF?G9efz#a~C z#!n~pue+%Fyo>v?uYHXZKbcSxa@+nse$IYmf5=kix3vV-;Q9Gp`-}SLY7KOhALL4oBi=C(ceURzWSl9{K~wSzdT*Lx%{nss%mWpWB+Ujf9FHq zSA6PzKU`Sv3j5^Ym7-{zG_9 zyk(V}b#W5i#6$3Fp7XfHnPbHv>+0*Dp(i0ug}E%*Zt2_k;iFg8>g1Q)O2GuH;FYx! zd+h4`tJtOGSn%A#m1YcfHECEf68T9&b!~-J`C;(NP}SN*Zb%g4Xzh(t$|{FCw0dRU z1f!lVGvjKSFd@?=_Nv&K5lq$ETqAx7mz(s=!!qgHbZrtoHz{~|xozf4rD-3ga&_J- zWc}-+UufMx<L##QX2XkLF`tZ>X;fYNxDd1xXs<%ZjF>^}bGlypuYp43s7;8aDMdxXoI^s~8ifn`A8!)U<#Jns-`rRk(5!VS3#2y@B5imA&(| zuiTo}JHqBG$0ma+Lz?lzi?43XJocLJ3Rvx16L}jVDvHaGnzA3Bc-gX$|1DsZRqjUb zL!BL?UlGMY^)#x#y>aPTZEL9hI$sj9a_`2N^MH!0z-a&%|Crk2P4}; z51=!g4yz4b^b)(F#u!W;NhgKjxjSfFyTbN;nEgZLkCAr zq}M4=Uu6VV+sVzv)mIrVDK9E3DTShX<#LlY_gHBd3YJ#zr?S)lbOts~{l&0c)tHVUAYQL#rFB8C!{yYP5!OARI0y zg_4w(6O+@FQV^5Ygd@b%)ijY}a2W*zTwY!Vsex1v`uFGtYWgVU1A1OZ9o$v^1fhX8 zG1ODDGBZG%nkY;Cs>FYUN5Z^d9kCx}Et5D(s5BK{ZvonMK+9RU768Gn!;dV&HSkhL9Q<}f!<>w(uJ z1lxPV0*Kvz`MMY6KZxE=P?&xIyo@K@|l1K|IY_NN8>FOZC&2kag| z$o{&(`kr7c^g+uB@CRMFkf45Cac`P`SbqSvVfT%CGuS_2|J{J#uh<{*l@0r^0Yq|; z#J7P$0SYB3TS3_l$_`MdK!N6KYEaXFLJJBVDDfIc21dStMXb;I9c+sG-b4#la?k}C z8F<+uML4GfrxaLztR&&84C>fSIVETbPp7kpPfpwcL$+;${kaD4fE+{*20LqhaKqO3 zL$`qVgI*8Pk`oF9a4->iB^+BeAfYR84qXzu>z&yK^Qw)JcQ}}k1&+@(Y9~${n0V@- zlao~#?(mLD1A+(s7y=d87HFQ^I0zJpsv!vHB-Q>C>rZH%urXJugB6Au%ot_>Gl6l! z5HL9SlZIJri2axK9 z%0~YE2kcMKAL0!iKjOK$9f~;?l5_mXwe8MtgZR-m%;JI1Czu*OU{H26kn|%XI4PpO07Gwp87gYZ|7F{$EI*fVthgdX< zV6Il!tQSFncVI3xm$L^qzbIp6eH2n#%>a#5)04sy?v^>Rx@ZFpWl5}wnYtlH&k9_i zES1Hiu;5M^V?ex;#Htx1jZr2TJu_3#s4OWi>_Y6cn@P0fuqacMYQ0qVe*qm0$`^hoVsr{a5$I!43F&={kG zLK3<;Eq{bD#+a%R^x~9+{DlrPaF_1}I|=rmonUB~2H1~* zr0@X67Zg82t0&AE?2mbaR%ox>jj&fn#D>8XH{Jj5-)Q_({hYM!+^9Eq{}by^PC$^b z`1{HApAvxISbqkBbFjT=3UY$MANOE4Wix2s6>?+^lnK%pZAb|DU)p-bqD@iyT4o>< zV2x4cXcLfm30K5h8z92pKK^t|_seu3U%(m~qxI3IXmb>Cj*$Aj0i#Yx-5SbZM;e+& zjLc9#2_tnwHEj(GOECKXiVgoOY)ho2vA%|-*1yF@{1vvEx}lYonT{1n(=ETO@gEWX zf%}i|zW1~72i>7RC5fR4;>jIEnK>v>?jc4O8bl=&bANqsZi+wdAAmpqAC5mB!1q_; zPZhv##NU6y{s#g7jWk}{+f1z2TBLaPjEQ3PC6 zT3%E}4y$RQp>Luksf<xk+V`T=KAQd(N5_$j!$g)88@4ci`NE?!b5E4)lTHQ$#hJermht_%! z2Wg1eCi?(Q2y98{gdRwKhzjTe1vG)df~XL<5)B6#0_aH)98!rS0H~#9A)k=?NuQ7+ z`Gl16-|z`Jk}L#4N#YS@0s{ky4GkV-7NYWyumqD5jRt&%&;oUmJc^_^WGFCD1a+Zx zGGz1LEkL-0Mh9{-(vT2LE3+}=P{&W<5I6u5kbneokg$+BNtT7=fVzn~K+sbEz}Ucl za%|wFv4Q`cu>rRfvIO6Olp&e}Qj%nr4TD3rhm=zw7#p%C!OWL>QCbu8V86!p+}DBmc+>d3gz$K zLP%%?;}BpVkATD^Wq^&5{N1xjw%th28&lQyya9rNFv<{XXo`Ub0@}_X4GAP6$zh>< zK%5FlS)5=aVgi9YfG|!FJZX4;7l|k$apnZ%iGc@64b9-tG(aFi@J5IZq%YBjf1gwS z=S&6ZN(!b8F$ju5Qa}Zgh}iMnQHi;cxVQjDBd7!oA@P(D_e5h6og3k@xBu#c;S@^%l|7RBXKVQTOF#rGn literal 0 HcmV?d00001 From fbfba9fe90c559674d1032d988847c0e556a1f3e Mon Sep 17 00:00:00 2001 From: Library Bot Date: Fri, 20 Mar 2020 19:03:38 -0700 Subject: [PATCH 2/3] Automated update --- Celestial Library.LibPkg | 911 ++-- STEP/Pot/POT BOURNS TRIMPOT 3224W.STEP | 3852 +++++++++++++++++ ...TIOMETER - POT BOURNS TRIMPOT 3224W.PCBLIB | Bin 0 -> 139776 bytes 3 files changed, 4316 insertions(+), 447 deletions(-) create mode 100644 STEP/Pot/POT BOURNS TRIMPOT 3224W.STEP create mode 100644 footprints/Potentiometer/PCB - POTENTIOMETER - POT BOURNS TRIMPOT 3224W.PCBLIB diff --git a/Celestial Library.LibPkg b/Celestial Library.LibPkg index 12f104e182..20ec6cf1d4 100644 --- a/Celestial Library.LibPkg +++ b/Celestial Library.LibPkg @@ -14675,7 +14675,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document862] -DocumentPath=footprints\Diodes\PCB - DIODES - BOURNS DO214AA SMB.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - 0402.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14692,7 +14692,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document863] -DocumentPath=footprints\Diodes\PCB - DIODES - BOURNS DO214AC SMA.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - BOURNS DO214AA SMB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14709,7 +14709,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document864] -DocumentPath=footprints\Diodes\PCB - DIODES - DO214AA SMB.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - BOURNS DO214AC SMA.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14726,7 +14726,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document865] -DocumentPath=footprints\Diodes\PCB - DIODES - DO214AB SMC.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - DO214AA SMB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14743,7 +14743,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document866] -DocumentPath=footprints\Diodes\PCB - DIODES - DO214AC SMA.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - DO214AB SMC.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14760,7 +14760,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document867] -DocumentPath=footprints\Diodes\PCB - DIODES - DO-219AD MicroSMP.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - DO214AC SMA.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14777,7 +14777,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document868] -DocumentPath=footprints\Diodes\PCB - DIODES - Everlight PD15-22.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - DO-219AD MicroSMP.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14794,7 +14794,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document869] -DocumentPath=footprints\Diodes\PCB - DIODES - LITEON LTST-C21KRKT.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - Everlight PD15-22.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14811,7 +14811,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document870] -DocumentPath=footprints\Diodes\PCB - DIODES - LITEON LTST-C230KFKT.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - LITEON LTST-C21KRKT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14828,7 +14828,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document871] -DocumentPath=footprints\Diodes\PCB - DIODES - LUMINUS MP-2016.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - LITEON LTST-C230KFKT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14845,7 +14845,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document872] -DocumentPath=footprints\Diodes\PCB - DIODES - LUMINUS MP-3030-110.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - LUMINUS MP-2016.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14862,7 +14862,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document873] -DocumentPath=footprints\Diodes\PCB - DIODES - LUMINUS MP-3030-220.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - LUMINUS MP-3030-110.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14879,7 +14879,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document874] -DocumentPath=footprints\Diodes\PCB - DIODES - SAMSUNG LM561B.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - LUMINUS MP-3030-220.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14896,7 +14896,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document875] -DocumentPath=footprints\Diodes\PCB - DIODES - SMF DO-219AB.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SAMSUNG LM561B.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14913,7 +14913,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document876] -DocumentPath=footprints\Diodes\PCB - DIODES - SOD-123.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SMF DO-219AB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14930,7 +14930,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document877] -DocumentPath=footprints\Diodes\PCB - DIODES - SOD123F.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SOD-123.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14947,7 +14947,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document878] -DocumentPath=footprints\Diodes\PCB - DIODES - SOD-123W.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SOD123F.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14964,7 +14964,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document879] -DocumentPath=footprints\Diodes\PCB - DIODES - SOD323.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SOD-123W.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14981,7 +14981,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document880] -DocumentPath=footprints\Diodes\PCB - DIODES - SOD323F.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SOD323.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -14998,7 +14998,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document881] -DocumentPath=footprints\Diodes\PCB - DIODES - SOD-523.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SOD323F.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15015,7 +15015,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document882] -DocumentPath=footprints\Diodes\PCB - DIODES - SOD523F.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SOD-523.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15032,7 +15032,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document883] -DocumentPath=footprints\Diodes\PCB - DIODES - SOD-80 MiniMELF.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SOD523F.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15049,7 +15049,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document884] -DocumentPath=footprints\Diodes\PCB - DIODES - SOD-923.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SOD-80 MiniMELF.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15066,7 +15066,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document885] -DocumentPath=footprints\Diodes\PCB - DIODES - SOT143B.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SOD-923.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15083,7 +15083,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document886] -DocumentPath=footprints\Diodes\PCB - DIODES - ST DO214AA SMB.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - SOT143B.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15100,7 +15100,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document887] -DocumentPath=footprints\Diodes\PCB - DIODES - ST DO214AB SMC.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - ST DO214AA SMB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15117,7 +15117,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document888] -DocumentPath=footprints\Diodes\PCB - DIODES - ST DO214AC SMA.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - ST DO214AB SMC.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15134,7 +15134,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document889] -DocumentPath=footprints\Encoder\PCB - ENCODER - BOURNS EAW0J-B24-BE0128L.PCBLIB +DocumentPath=footprints\Diodes\PCB - DIODES - ST DO214AC SMA.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15151,7 +15151,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document890] -DocumentPath=footprints\Encoder\PCB - ENCODER - BOURNS EAW0J-C24-AE0128L.PCBLIB +DocumentPath=footprints\Encoder\PCB - ENCODER - BOURNS EAW0J-B24-BE0128L.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15168,7 +15168,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document891] -DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 0201_0603.PCBLIB +DocumentPath=footprints\Encoder\PCB - ENCODER - BOURNS EAW0J-C24-AE0128L.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15185,7 +15185,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document892] -DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 0402_1005.PCBLIB +DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 0201_0603.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15202,7 +15202,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document893] -DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 0603_1608.PCBLIB +DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 0402_1005.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15219,7 +15219,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document894] -DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 0805_2012.PCBLIB +DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 0603_1608.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15236,7 +15236,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document895] -DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 1206_3216.PCBLIB +DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 0805_2012.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15253,7 +15253,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document896] -DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 1806_4516.PCBLIB +DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 1206_3216.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15270,7 +15270,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document897] -DocumentPath=footprints\IR Receiver\PCB - IR RECEIVER - VISHAY TSOP36X-TR.PCBLIB +DocumentPath=footprints\Ferrite - Chip\PCB - FERRITE - CHIP - FER 1806_4516.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15287,7 +15287,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document898] -DocumentPath=footprints\IR Receiver\PCB - IR RECEIVER - VISHAY TSOP36X-TT.PCBLIB +DocumentPath=footprints\IR Receiver\PCB - IR RECEIVER - VISHAY TSOP36X-TR.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15304,7 +15304,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document899] -DocumentPath=footprints\LCD\PCB - LCD - NHD-C12832A1Z BLUE.PCBLIB +DocumentPath=footprints\IR Receiver\PCB - IR RECEIVER - VISHAY TSOP36X-TT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15321,7 +15321,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document900] -DocumentPath=footprints\LCD\PCB - LCD - NHD-C12832A1Z GREEN.PCBLIB +DocumentPath=footprints\LCD\PCB - LCD - NHD-C12832A1Z BLUE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15338,7 +15338,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document901] -DocumentPath=footprints\LCD\PCB - LCD - NHD-C12832A1Z RED.PCBLIB +DocumentPath=footprints\LCD\PCB - LCD - NHD-C12832A1Z GREEN.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15355,7 +15355,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document902] -DocumentPath=footprints\LCD\PCB - LCD - NHD-C12832A1Z WHITE.PCBLIB +DocumentPath=footprints\LCD\PCB - LCD - NHD-C12832A1Z RED.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15372,7 +15372,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document903] -DocumentPath=footprints\LCD\PCB - LCD - NHD-C12832A1Z-FS(RGB)-FBW.PCBLIB +DocumentPath=footprints\LCD\PCB - LCD - NHD-C12832A1Z WHITE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15389,7 +15389,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document904] -DocumentPath=footprints\LCD\PCB - LCD - NHD-C12864A1Z BLUE.PCBLIB +DocumentPath=footprints\LCD\PCB - LCD - NHD-C12832A1Z-FS(RGB)-FBW.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15406,7 +15406,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document905] -DocumentPath=footprints\LCD\PCB - LCD - NHD-C12864A1Z GREEN.PCBLIB +DocumentPath=footprints\LCD\PCB - LCD - NHD-C12864A1Z BLUE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15423,7 +15423,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document906] -DocumentPath=footprints\LCD\PCB - LCD - NHD-C12864A1Z RED.PCBLIB +DocumentPath=footprints\LCD\PCB - LCD - NHD-C12864A1Z GREEN.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15440,7 +15440,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document907] -DocumentPath=footprints\LCD\PCB - LCD - NHD-C12864A1Z RGB.PCBLIB +DocumentPath=footprints\LCD\PCB - LCD - NHD-C12864A1Z RED.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15457,7 +15457,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document908] -DocumentPath=footprints\LCD\PCB - LCD - NHD-C12864A1Z WHITE.PCBLIB +DocumentPath=footprints\LCD\PCB - LCD - NHD-C12864A1Z RGB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15474,7 +15474,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document909] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - CEL S02.PCBLIB +DocumentPath=footprints\LCD\PCB - LCD - NHD-C12864A1Z WHITE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15491,7 +15491,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document910] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - DIODES SM-8.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - CEL S02.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15508,7 +15508,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document911] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - INFINEON PG-DSO-8.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - DIODES SM-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15525,7 +15525,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document912] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - INFINEON PG-HSOF-8-1.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - INFINEON PG-DSO-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15542,7 +15542,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document913] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - INFINEON TO-263-7.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - INFINEON PG-HSOF-8-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15559,7 +15559,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document914] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - INFINEON TSFP-4.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - INFINEON TO-263-7.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15576,7 +15576,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document915] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - IRF TO-263-7.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - INFINEON TSFP-4.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15593,7 +15593,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document916] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - MICROCHIP SC70-5 LT.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - IRF TO-263-7.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15610,7 +15610,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document917] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - MICROCHIP SC70-6 LT.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - MICROCHIP SC70-5 LT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15627,7 +15627,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document918] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - NXP LFPAK56 SOT669.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - MICROCHIP SC70-6 LT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15644,7 +15644,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document919] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - SKYWORKS SC70JW-8.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - NXP LFPAK56 SOT669.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15661,7 +15661,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document920] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - ST POWERSO-36.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - SKYWORKS SC70JW-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15678,7 +15678,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document921] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - ST TO-263-7.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - ST POWERSO-36.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15695,7 +15695,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document922] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - TI PDSO-10 DCQ.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - ST TO-263-7.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15712,7 +15712,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document923] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - TI PDSO-14 PWP.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - TI PDSO-10 DCQ.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15729,7 +15729,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document924] -DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - TI TO-263-3 KTT.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - TI PDSO-14 PWP.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15746,7 +15746,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document925] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - ANALOG DEV SOIC-16 RI-16-1.PCBLIB +DocumentPath=footprints\Leaded - Misc\PCB - LEADED - MISC - TI TO-263-3 KTT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15763,7 +15763,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document926] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - ANALOG DEV SOIC-8 R8.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - ANALOG DEV SOIC-16 RI-16-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15780,7 +15780,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document927] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - INTERSIL SOIC-20 WIDE.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - ANALOG DEV SOIC-8 R8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15797,7 +15797,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document928] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - INTERSIL SOIC-8 M8.15.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - INTERSIL SOIC-20 WIDE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15814,7 +15814,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document929] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - MAXIM SOIC-8 S8.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - INTERSIL SOIC-8 M8.15.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15831,7 +15831,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document930] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - MICROCHIP SOIC-8 150MIL WIDE.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - MAXIM SOIC-8 S8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15848,7 +15848,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document931] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - MICROCHIP SOIC-8 208MIL WIDE.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - MICROCHIP SOIC-8 150MIL WIDE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15865,7 +15865,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document932] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - ON SEMI - SOIC-8 751AZ.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - MICROCHIP SOIC-8 208MIL WIDE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15882,7 +15882,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document933] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - ON SEMI SOIC-14 CASE 751A-03.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - ON SEMI - SOIC-8 751AZ.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15899,7 +15899,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document934] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - SI LABS SOIC-16 WIDE.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - ON SEMI SOIC-14 CASE 751A-03.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15916,7 +15916,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document935] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - SPANSION SOIC-8 150MIL WIDE.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - SI LABS SOIC-16 WIDE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15933,7 +15933,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document936] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - SPANSION SOIC-8 208MIL WIDE.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - SPANSION SOIC-8 150MIL WIDE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15950,7 +15950,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document937] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - ST SOIC-8N.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - SPANSION SOIC-8 208MIL WIDE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15967,7 +15967,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document938] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - TI SOIC-8 D.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - ST SOIC-8N.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -15984,7 +15984,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document939] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - WINBOND SOIC-8 208MIL WIDE.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - TI SOIC-8 D.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16001,7 +16001,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document940] -DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - WINBOND SOIC-8.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - WINBOND SOIC-8 208MIL WIDE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16018,7 +16018,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document941] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ALLEGRO TSSOP-16EP LP.PCBLIB +DocumentPath=footprints\Leaded - SOIC\PCB - LEADED - SOIC - WINBOND SOIC-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16035,7 +16035,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document942] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ALLEGRO TSSOP-24 LP.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ALLEGRO TSSOP-16EP LP.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16052,7 +16052,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document943] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ANALOG MSOP-8 MS8 3X3MM.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ALLEGRO TSSOP-24 LP.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16069,7 +16069,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document944] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ANALOG MSOP-8 RM-8.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ANALOG MSOP-8 MS8 3X3MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16086,7 +16086,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document945] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ANALOG SO-8 R-8.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ANALOG MSOP-8 RM-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16103,7 +16103,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document946] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ANALOG TSSOP-8 RU-8.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ANALOG SO-8 R-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16120,7 +16120,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document947] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ATMEL SO-14 14S1.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ANALOG TSSOP-8 RU-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16137,7 +16137,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document948] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ATMEL SO-8 S8S1.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ATMEL SO-14 14S1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16154,7 +16154,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document949] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ATMEL SO-8 WIDE 8S2.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ATMEL SO-8 S8S1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16171,7 +16171,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document950] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ATMEL TSSOP-8 8X.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ATMEL SO-8 WIDE 8S2.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16188,7 +16188,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document951] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - CYPRESS SSOP-28 210MIL.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ATMEL TSSOP-8 8X.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16205,7 +16205,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document952] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - DIODES MSOP-8EP.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - CYPRESS SSOP-28 210MIL.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16222,7 +16222,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document953] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - DIODES SO-8.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - DIODES MSOP-8EP.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16239,7 +16239,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document954] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INFINEON SO-8.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - DIODES SO-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16256,7 +16256,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document955] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INFINEON TSSOP-28.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INFINEON SO-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16273,7 +16273,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document956] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INTERSIL MSOP-8 M8.118.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INFINEON TSSOP-28.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16290,7 +16290,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document957] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INTERSIL SSOP-20.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INTERSIL MSOP-8 M8.118.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16307,7 +16307,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document958] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INTERSIL TSSOP-24 M24.173.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INTERSIL SSOP-20.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16324,7 +16324,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document959] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INTERSIL TSSOP-28 M28.173.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INTERSIL TSSOP-24 M24.173.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16341,7 +16341,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document960] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - LT MSOP-8 MS8E 3X3MM.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - INTERSIL TSSOP-28 M28.173.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16358,7 +16358,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document961] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - LTC MSOP-16 MSE16.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - LT MSOP-8 MS8E 3X3MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16375,7 +16375,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document962] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - MAXIM TSSOP28-EP.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - LTC MSOP-16 MSE16.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16392,7 +16392,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document963] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - MICROCHIP MSOP-10 UN.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - MAXIM TSSOP28-EP.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16409,7 +16409,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document964] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - MICROCHIP TSSOP-14 4.4MM BODY.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - MICROCHIP MSOP-10 UN.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16426,7 +16426,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document965] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - MICROCHIP TSSOP-20 4.4MM BODY.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - MICROCHIP TSSOP-14 4.4MM BODY.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16443,7 +16443,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document966] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - MICROCHIP TSSOP-8 ST.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - MICROCHIP TSSOP-20 4.4MM BODY.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16460,7 +16460,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document967] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - NXP TSSOP-16.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - MICROCHIP TSSOP-8 ST.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16477,7 +16477,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document968] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - NXP TSSOP-20.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - NXP TSSOP-16.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16494,7 +16494,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document969] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ON SEMI SO-8.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - NXP TSSOP-20.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16511,7 +16511,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document970] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ON SEMI TSSOP-14 948G.pcblib +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ON SEMI SO-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16528,7 +16528,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document971] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ON SEMI TSSOP-8.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ON SEMI TSSOP-14 948G.pcblib AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16545,7 +16545,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document972] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ST HTSSOP-28.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ON SEMI TSSOP-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16562,7 +16562,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document973] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ST TSSOP-20.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ST HTSSOP-28.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16579,7 +16579,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document974] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ST TSSOP-8.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ST TSSOP-20.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16596,7 +16596,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document975] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI HTSSOP-14 PWP.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - ST TSSOP-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16613,7 +16613,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document976] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI HTSSOP-20EP MHX.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI HTSSOP-14 PWP.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16630,7 +16630,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document977] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI HTSSOP-28 PWP.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI HTSSOP-20EP MHX.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16647,7 +16647,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document978] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI HTSSOP-56 DCA.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI HTSSOP-28 PWP.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16664,7 +16664,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document979] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI MSOP-10 DGQ.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI HTSSOP-56 DCA.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16681,7 +16681,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document980] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI MSOP-10 DGS.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI MSOP-10 DGQ.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16698,7 +16698,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document981] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI MSOP-8 DGN.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI MSOP-10 DGS.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16715,7 +16715,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document982] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI MSOP-8 DGS.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI MSOP-8 DGN.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16732,7 +16732,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document983] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI SOP-16 D.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI MSOP-8 DGS.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16749,7 +16749,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document984] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI SSOP-20 DB.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI SOP-16 D.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16766,7 +16766,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document985] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI SSOP-24 DB.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI SSOP-20 DB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16783,7 +16783,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document986] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI SSOP-28 DB.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI SSOP-24 DB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16800,7 +16800,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document987] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI TSSOP-14 PW.pcblib +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI SSOP-28 DB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16817,7 +16817,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document988] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI TSSOP-16 PW.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI TSSOP-14 PW.pcblib AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16834,7 +16834,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document989] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI TSSOP-20 PW.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI TSSOP-16 PW.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16851,7 +16851,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document990] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI TSSOP-8 PW.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI TSSOP-20 PW.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16868,7 +16868,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document991] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI VSSOP-8 DGK.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI TSSOP-8 PW.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16885,7 +16885,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document992] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - VISHAY TSOP-5 MO-193C.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - TI VSSOP-8 DGK.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16902,7 +16902,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document993] -DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - VISHAY TSOP-6 MO-193C.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - VISHAY TSOP-5 MO-193C.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16919,7 +16919,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document994] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - BROADCOM SOT-343.PCBLIB +DocumentPath=footprints\Leaded - SOP\PCB - LEADED - SOP - VISHAY TSOP-6 MO-193C.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16936,7 +16936,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document995] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES INC - SOT353.PcbLib +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - BROADCOM SOT-343.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16953,7 +16953,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document996] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES INC SOT-89.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES INC - SOT353.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16970,7 +16970,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document997] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES SOT-223.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES INC SOT-89.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -16987,7 +16987,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document998] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES SOT-323-3.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES SOT-223.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17004,7 +17004,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document999] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES SOT-363.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES SOT-323-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17021,7 +17021,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1000] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES SOT-523.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES SOT-363.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17038,7 +17038,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1001] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - INFINEON SOT-223.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - DIODES SOT-523.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17055,7 +17055,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1002] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - INFINEON SOT-323-3.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - INFINEON SOT-223.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17072,7 +17072,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1003] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - INFINEON SOT-343-4.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - INFINEON SOT-323-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17089,7 +17089,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1004] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - INFINEON SOT-363.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - INFINEON SOT-343-4.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17106,7 +17106,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1005] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - MAXIM SOT-143-4.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - INFINEON SOT-363.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17123,7 +17123,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1006] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - MICROCHIP SC70-5.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - MAXIM SOT-143-4.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17140,7 +17140,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1007] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - MICROCHIP SOT-143-4.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - MICROCHIP SC70-5.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17157,7 +17157,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1008] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - NXP SOT-223.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - MICROCHIP SOT-143-4.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17174,7 +17174,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1009] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - NXP SOT-323-3.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - NXP SOT-223.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17191,7 +17191,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1010] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - NXP SOT-363.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - NXP SOT-323-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17208,7 +17208,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1011] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMI SC70-5 419AC-01.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - NXP SOT-363.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17225,7 +17225,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1012] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMI SC70-6 419AD-01.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMI SC70-5 419AC-01.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17242,7 +17242,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1013] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMI SOT-223 ST(318H).PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMI SC70-6 419AD-01.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17259,7 +17259,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1014] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMI SOT-363.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMI SOT-223 ST(318H).PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17276,7 +17276,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1015] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMI SOT-89 H.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMI SOT-363.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17293,7 +17293,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1016] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMICONDUCTOR SC-75.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMI SOT-89 H.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17310,7 +17310,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1017] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ROHM SC-89-SOT-490.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ON SEMICONDUCTOR SC-75.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17327,7 +17327,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1018] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ROHM SOT-723.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ROHM SC-89-SOT-490.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17344,7 +17344,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1019] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ST SOT-143-4.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ROHM SOT-723.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17361,7 +17361,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1020] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ST SOT-223.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ST SOT-143-4.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17378,7 +17378,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1021] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - TI 6SOT DDC.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - ST SOT-223.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17395,7 +17395,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1022] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - TI SC70-6 DCK.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - TI 6SOT DDC.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17412,7 +17412,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1023] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - TI SOT-223 DCY.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - TI SC70-6 DCK.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17429,7 +17429,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1024] -DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - TI SOT-89 PK.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - TI SOT-223 DCY.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17446,7 +17446,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1025] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ANALOG SOT-23-5 RJ-5.PCBLIB +DocumentPath=footprints\Leaded - SOT\PCB - LEADED - SOT - TI SOT-89 PK.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17463,7 +17463,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1026] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ANALOG TSOT-23-5 UJ-5.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ANALOG SOT-23-5 RJ-5.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17480,7 +17480,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1027] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ATMEL SOT-23-6 6ST1.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ANALOG TSOT-23-5 UJ-5.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17497,7 +17497,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1028] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - DIODES SOT-23-3.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ATMEL SOT-23-6 6ST1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17514,7 +17514,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1029] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - DIODES SOT-23-5 W5.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - DIODES SOT-23-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17531,7 +17531,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1030] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - DIODES SOT-23-6 W6.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - DIODES SOT-23-5 W5.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17548,7 +17548,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1031] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - FAIRCHILD SOT-23-6 SUPERSOT-6.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - DIODES SOT-23-6 W6.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17565,7 +17565,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1032] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - FAIRCHILD SSOT-23-3.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - FAIRCHILD SOT-23-6 SUPERSOT-6.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17582,7 +17582,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1033] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - INFINEON SOT-23-3.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - FAIRCHILD SSOT-23-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17599,7 +17599,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1034] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - LT TSOT-23-6 S6.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - INFINEON SOT-23-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17616,7 +17616,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1035] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - MAXIM SOT-23-6.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - LT TSOT-23-6 S6.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17633,7 +17633,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1036] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - MICREL SOT-23-5 DB5.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - MAXIM SOT-23-6.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17650,7 +17650,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1037] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - MICROCHIP SOT-23-3 NB.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - MICREL SOT-23-5 DB5.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17667,7 +17667,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1038] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - MICROCHIP SOT-23-5 OT.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - MICROCHIP SOT-23-3 NB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17684,7 +17684,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1039] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - MICROCHIP SOT-23-6 M6.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - MICROCHIP SOT-23-5 OT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17701,7 +17701,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1040] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - NXP SOT-23-3 TO-236AB.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - MICROCHIP SOT-23-6 M6.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17718,7 +17718,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1041] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ON SEMI SOT-23-3.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - NXP SOT-23-3 TO-236AB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17735,7 +17735,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1042] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ON SEMI SOT-23-5 NTR.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ON SEMI SOT-23-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17752,7 +17752,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1043] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ON SEMI TSOT-23-5 419AE.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ON SEMI SOT-23-5 NTR.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17769,7 +17769,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1044] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - TI SOT-23-3 DBZ.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - ON SEMI TSOT-23-5 419AE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17786,7 +17786,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1045] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - TI SOT-23-5 DBV.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - TI SOT-23-3 DBZ.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17803,7 +17803,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1046] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - TI SOT-23-6 DBV.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - TI SOT-23-5 DBV.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17820,7 +17820,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1047] -DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - TI SOT-23-6 DDC.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - TI SOT-23-6 DBV.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17837,7 +17837,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1048] -DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - ATMEL BGA-15 3X3MM 15CC1.PCBLIB +DocumentPath=footprints\Leaded - SOT-23\PCB - LEADED - SOT-23 - TI SOT-23-6 DDC.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17854,7 +17854,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1049] -DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - MICRON VFBGA-90 8X13MM.PCBLIB +DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - ATMEL BGA-15 3X3MM 15CC1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17871,7 +17871,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1050] -DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - NXP TFBGA-100 9X9MM.PCBLIB +DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - MICRON VFBGA-90 8X13MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17888,7 +17888,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1051] -DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - NXP TFBGA-180 SOT570-3.PCBLIB +DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - NXP TFBGA-100 9X9MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17905,7 +17905,7 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1052] -DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - NXP TFBGA-208 SOT950-1.PCBLIB +DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - NXP TFBGA-180 SOT570-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 AnnotationIndexControlEnabled=0 @@ -17922,6 +17922,23 @@ GenerateClassCluster=0 DocumentUniqueId= [Document1053] +DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - NXP TFBGA-208 SOT950-1.PCBLIB +AnnotationEnabled=1 +AnnotateStartValue=1 +AnnotationIndexControlEnabled=0 +AnnotateSuffix= +AnnotateScope=All +AnnotateOrder=-1 +DoLibraryUpdate=1 +DoDatabaseUpdate=1 +ClassGenCCAutoEnabled=1 +ClassGenCCAutoRoomEnabled=1 +ClassGenNCAutoScope=None +DItemRevisionGUID= +GenerateClassCluster=0 +DocumentUniqueId= + +[Document1054] DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - NXP TFBGA-48 4.5X4.5MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -17938,7 +17955,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1054] +[Document1055] DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - NXP TFBGA-80 SOT1328-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -17955,7 +17972,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1055] +[Document1056] DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - ST TFBGA-216 13X13MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -17972,7 +17989,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1056] +[Document1057] DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - TI DSBGA-6 1.25X0.85MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -17989,7 +18006,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1057] +[Document1058] DocumentPath=footprints\Leadless - BGA\PCB - LEADLESS - BGA - TI XFBGA-6 1.2X0.8MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18006,7 +18023,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1058] +[Document1059] DocumentPath=footprints\Leadless - DFN\ANALOG DFN-10 3x3MM DD.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18023,7 +18040,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1059] +[Document1060] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - ANALOG DEV DFN-10 3X3MM DD.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18040,7 +18057,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1060] +[Document1061] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - ATMEL UDFN-8 2X2MM 8MA4.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18057,7 +18074,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1061] +[Document1062] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - DIODES U-DFN2020-6E.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18074,7 +18091,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1062] +[Document1063] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - LT DFN-6 2X2MM DC6 RF.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18091,7 +18108,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1063] +[Document1064] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - LT DFN-8 3X3MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18108,7 +18125,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1064] +[Document1065] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - MAXIM TDFN-10 3X3MM T1033-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18125,7 +18142,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1065] +[Document1066] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - MAXIM TDFN-10 T1034N-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18142,7 +18159,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1066] +[Document1067] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - MAXIM TDFN-14 3X3MM T1433+2.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18159,7 +18176,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1067] +[Document1068] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - MAXIM TDFN-8 2X2MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18176,7 +18193,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1068] +[Document1069] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - MICROCHIP DFN-10 3MMX3MM MF.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18193,7 +18210,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1069] +[Document1070] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - MICRON VDFPN-8 (MLP8) (8x6) F8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18210,7 +18227,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1070] +[Document1071] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - ON SEMI SO8FL 488AA-1.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -18227,7 +18244,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1071] +[Document1072] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - SKYWORKS DFN-6 1.5X1.5MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18244,7 +18261,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1072] +[Document1073] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - SKYWORKS TDFN-10 2.2X2.2MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18261,7 +18278,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1073] +[Document1074] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - SKYWORKS TDFN-14 3X3MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18278,7 +18295,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1074] +[Document1075] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - SKYWORKS XFDFN-6 1X1MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18295,7 +18312,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1075] +[Document1076] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - ST DFN-8 3X3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18312,7 +18329,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1076] +[Document1077] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - ST VFPDFN-10.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18329,7 +18346,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1077] +[Document1078] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - TI 3-XFDFN F4 YJC.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18346,7 +18363,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1078] +[Document1079] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - TI 8-TDFN Q5.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18363,7 +18380,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1079] +[Document1080] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - TI 8-TDFN Q5A.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18380,7 +18397,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1080] +[Document1081] DocumentPath=footprints\Leadless - DFN\PCB - LEADLESS - DFN - TI WSON-8 NGT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18397,7 +18414,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1081] +[Document1082] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - NXP LQFP-100 14X14MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18414,7 +18431,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1082] +[Document1083] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - NXP LQFP-144 SOT486-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18431,7 +18448,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1083] +[Document1084] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - NXP LQFP-208 SOT459-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18448,7 +18465,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1084] +[Document1085] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - NXP LQFP-48 7X7MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18465,7 +18482,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1085] +[Document1086] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - NXP LQFP-64 10X10MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18482,7 +18499,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1086] +[Document1087] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - ST LQFP-100 14X14MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18499,7 +18516,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1087] +[Document1088] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - ST LQFP-144 20X20MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18516,7 +18533,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1088] +[Document1089] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - ST LQFP-32 7X7MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18533,7 +18550,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1089] +[Document1090] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - ST LQFP-48 7X7MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18550,7 +18567,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1090] +[Document1091] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - ST LQFP-64 10X10MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18567,7 +18584,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1091] +[Document1092] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - TRINAMIC LQFP-44 10X10MM.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -18584,7 +18601,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1092] +[Document1093] DocumentPath=footprints\Leadless - LQFP\PCB - LEADLESS - LQFP - VLSI LQFP-48 7X7MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18601,7 +18618,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1093] +[Document1094] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - ATMEL MLF-20 20M1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18618,7 +18635,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1094] +[Document1095] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - BOSCH LGA-28 5.2X3.8MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18635,7 +18652,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1095] +[Document1096] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - INFINEON TSLP-3-9.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18652,7 +18669,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1096] +[Document1097] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - INVENSENSE LGA-16 3X3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18669,7 +18686,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1097] +[Document1098] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - MAXIM UCSP-12 1.5X2.0MM B12-11.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18686,7 +18703,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1098] +[Document1099] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - MAXIM WLP-9 1.2X1.2MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18703,7 +18720,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1099] +[Document1100] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - MICREL MLF-8 2X2MM ML.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18720,7 +18737,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1100] +[Document1101] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - NXP WLCSP-100 5X5MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18737,7 +18754,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1101] +[Document1102] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - SENSIRION STS21.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18754,7 +18771,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1102] +[Document1103] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - SKYWORKS MCM-12 8.4X8.4MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18771,7 +18788,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1103] +[Document1104] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - SKYWORKS MLPD-6 1X1MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18788,7 +18805,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1104] +[Document1105] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - SKYWORKS MLPD-6 2X1.5MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18805,7 +18822,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1105] +[Document1106] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - ST LGA-12 2x2MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18822,7 +18839,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1106] +[Document1107] DocumentPath=footprints\Leadless - Misc\PCB - LEADLESS - MISC - ST UFDFPN-8 MLP8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18839,7 +18856,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1107] +[Document1108] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - ALLEGRO QFN-28 ET.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18856,7 +18873,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1108] +[Document1109] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - ALLEGRO QFN-32 ET.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18873,7 +18890,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1109] +[Document1110] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - ANALOG LQFN-28 5x4MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18890,7 +18907,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1110] +[Document1111] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - ATMEL VQFN-20 3X3MM 20M2.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18907,7 +18924,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1111] +[Document1112] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - INVENSENSE QFN-24 3X3MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18924,7 +18941,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1112] +[Document1113] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - LINEAR TECH QFN-16 UD.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -18941,7 +18958,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1113] +[Document1114] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - LTC QFN-16 UD.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -18958,7 +18975,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1114] +[Document1115] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - LTC QFN-20 UFD.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -18975,7 +18992,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1115] +[Document1116] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - MAXIM QFN-20 4X4MM T2044-4.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -18992,7 +19009,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1116] +[Document1117] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - MICROCHIP QFN-20 4X4MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19009,7 +19026,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1117] +[Document1118] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - NXP HVQFN32 5X5MM SOT617-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19026,7 +19043,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1118] +[Document1119] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - NXP HVQFN32 7X7MM SOT865-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19043,7 +19060,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1119] +[Document1120] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - NXP HVQFN-33 5X5MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19060,7 +19077,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1120] +[Document1121] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - NXP HVQFN-33 7X7MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19077,7 +19094,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1121] +[Document1122] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - RFMD QFN-16 3X3MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19094,7 +19111,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1122] +[Document1123] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - SI LABS QFN-32(5x5).PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19111,7 +19128,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1123] +[Document1124] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - SI LABS QFN-64.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19128,7 +19145,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1124] +[Document1125] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - SKYWORKS QFN-12 2X2MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19145,7 +19162,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1125] +[Document1126] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - SMSC QFN-24 4X4MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19162,7 +19179,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1126] +[Document1127] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - ST QFN20 3x3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19179,7 +19196,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1127] +[Document1128] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - ST QFN-8 3x3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19196,7 +19213,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1128] +[Document1129] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - TI PVQFN-16 4X4MM RSA.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19213,7 +19230,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1129] +[Document1130] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - TI PVQFN-32 5X5MM RHB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19230,7 +19247,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1130] +[Document1131] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - TI PWQFN-16 3X3MM RTE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19247,7 +19264,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1131] +[Document1132] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - TI QFN-16 3X3MM RTE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19264,7 +19281,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1132] +[Document1133] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - TI VQFN-16 4X4MM RGV.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19281,7 +19298,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1133] +[Document1134] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - TI VQFN-16 4X4MM RSA.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19298,7 +19315,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1134] +[Document1135] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - TI VQFN-16 RVA.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19315,7 +19332,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1135] +[Document1136] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - TI VQFN-9 3X3MM RWL.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19332,7 +19349,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1136] +[Document1137] DocumentPath=footprints\Leadless - QFN\PCB - LEADLESS - QFN - TI WQFN-16 RGH.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19349,7 +19366,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1137] +[Document1138] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - INFINEON PG-TDSON-8 6.15X5.32MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19366,7 +19383,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1138] +[Document1139] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - INFINEON PG-TDSON-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19383,7 +19400,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1139] +[Document1140] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - INFINEON PG-TSDSON-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19400,7 +19417,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1140] +[Document1141] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - INFINEON PGTSON-8.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19417,7 +19434,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1141] +[Document1142] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - MICROCHIP WSON-8 6X5MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19434,7 +19451,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1142] +[Document1143] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - NXP XSON16 SOT1341-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19451,7 +19468,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1143] +[Document1144] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - SPANSION WSON-8 6X5MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19468,7 +19485,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1144] +[Document1145] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - SPANSION WSON-8 6X8MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19485,7 +19502,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1145] +[Document1146] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI PVSON-10 3X3MM DRC.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19502,7 +19519,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1146] +[Document1147] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI PVSON-14 DSJ.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19519,7 +19536,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1147] +[Document1148] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI PWSON-10 DSQ.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19536,7 +19553,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1148] +[Document1149] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI SON-12 DRZ.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19553,7 +19570,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1149] +[Document1150] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI SON-6 Q2.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19570,7 +19587,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1150] +[Document1151] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI SON-8 DRG.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19587,7 +19604,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1151] +[Document1152] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI SON-8 Q3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19604,7 +19621,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1152] +[Document1153] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI VSON-8 DRB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19621,7 +19638,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1153] +[Document1154] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI VSON-8 Q5B.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19638,7 +19655,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1154] +[Document1155] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI WSON-10 DQC.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19655,7 +19672,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1155] +[Document1156] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI WSON-10 SD.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19672,7 +19689,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1156] +[Document1157] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI WSON-12 DNT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19689,7 +19706,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1157] +[Document1158] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - TI WSON-16 NHR.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19706,7 +19723,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1158] +[Document1159] DocumentPath=footprints\Leadless - SON\PCB - LEADLESS - SON - WINBOND WSON-8 6X5MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19723,7 +19740,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1159] +[Document1160] DocumentPath=footprints\LED\PCB - LED - Citizen CL-505S-X-SD-T.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19740,7 +19757,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1160] +[Document1161] DocumentPath=footprints\LED\PCB - LED - Everlight 1414_3535.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19757,7 +19774,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1161] +[Document1162] DocumentPath=footprints\LED\PCB - LED - LED 0402_1005 BLUE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19774,7 +19791,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1162] +[Document1163] DocumentPath=footprints\LED\PCB - LED - LED 0402_1005 GREEN.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19791,7 +19808,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1163] +[Document1164] DocumentPath=footprints\LED\PCB - LED - LED 0402_1005 RED.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19808,7 +19825,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1164] +[Document1165] DocumentPath=footprints\LED\PCB - LED - LED 0402_1005 YELLOW.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19825,7 +19842,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1165] +[Document1166] DocumentPath=footprints\LED\PCB - LED - LED 0402_1005.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19842,7 +19859,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1166] +[Document1167] DocumentPath=footprints\LED\PCB - LED - LED 0603_1608 BLUE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19859,7 +19876,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1167] +[Document1168] DocumentPath=footprints\LED\PCB - LED - LED 0603_1608 GREEN.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19876,7 +19893,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1168] +[Document1169] DocumentPath=footprints\LED\PCB - LED - LED 0603_1608 RED.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19893,7 +19910,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1169] +[Document1170] DocumentPath=footprints\LED\PCB - LED - LED 0603_1608 YELLOW.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19910,7 +19927,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1170] +[Document1171] DocumentPath=footprints\LED\PCB - LED - LED 0603_1608.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19927,7 +19944,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1171] +[Document1172] DocumentPath=footprints\LED\PCB - LED - LED 0805_2012 BLUE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19944,7 +19961,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1172] +[Document1173] DocumentPath=footprints\LED\PCB - LED - LED 0805_2012 GREEN.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19961,7 +19978,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1173] +[Document1174] DocumentPath=footprints\LED\PCB - LED - LED 0805_2012 RED.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19978,7 +19995,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1174] +[Document1175] DocumentPath=footprints\LED\PCB - LED - LED 0805_2012 YELLOW.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -19995,7 +20012,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1175] +[Document1176] DocumentPath=footprints\LED\PCB - LED - LED 0805_2012.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20012,7 +20029,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1176] +[Document1177] DocumentPath=footprints\LED\PCB - LED - LED 1206_3216 BLUE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20029,7 +20046,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1177] +[Document1178] DocumentPath=footprints\LED\PCB - LED - LED 1206_3216 GREEN.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20046,7 +20063,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1178] +[Document1179] DocumentPath=footprints\LED\PCB - LED - LED 1206_3216 RED.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20063,7 +20080,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1179] +[Document1180] DocumentPath=footprints\LED\PCB - LED - LED 1206_3216 YELLOW.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20080,7 +20097,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1180] +[Document1181] DocumentPath=footprints\LED\PCB - LED - LED 1206_3216.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20097,7 +20114,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1181] +[Document1182] DocumentPath=footprints\LED\PCB - LED - LED PLCC6-CREE 5.5X5.3MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20114,7 +20131,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1182] +[Document1183] DocumentPath=footprints\LED\PCB - LED - OSRAM SFH 4641.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20131,7 +20148,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1183] +[Document1184] DocumentPath=footprints\Light Pipe\PCB - LIGHT PIPE - BIVAR SLP-1-3.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20148,7 +20165,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1184] +[Document1185] DocumentPath=footprints\Light Pipe\PCB - LIGHT PIPE - BIVAR SLP3-150-100-R.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20165,7 +20182,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1185] +[Document1186] DocumentPath=footprints\Light Pipe\PCB - LIGHT PIPE - BIVAR SLP3-200-100-F.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20182,7 +20199,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1186] +[Document1187] DocumentPath=footprints\Light Pipe\PCB - LIGHT PIPE - BIVAR SLP3-200-100-R.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20199,7 +20216,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1187] +[Document1188] DocumentPath=footprints\Light Pipe\PCB - LIGHT PIPE - BIVAR SLP3-250-100-F.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20216,7 +20233,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1188] +[Document1189] DocumentPath=footprints\Light Pipe\PCB - LIGHT PIPE - VCC 7511A85.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20233,7 +20250,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1189] +[Document1190] DocumentPath=footprints\Mechanical\PCB - MECHANICAL - KEYSTONE 611.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20250,7 +20267,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1190] +[Document1191] DocumentPath=footprints\Mechanical\PCB - MECHANICAL - KEYSTONE 612.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20267,7 +20284,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1191] +[Document1192] DocumentPath=footprints\Mechanical\PCB - MECHANICAL - KEYSTONE 614.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20284,7 +20301,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1192] +[Document1193] DocumentPath=footprints\Mechanical\PCB - MECHANICAL - KEYSTONE 621.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20301,7 +20318,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1193] +[Document1194] DocumentPath=footprints\Optoisolator\PCB - OPTOISOLATOR - LITEON LTV-357T.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20318,7 +20335,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1194] +[Document1195] DocumentPath=footprints\Optoisolator\PCB - OPTOISOLATOR - LITEON LTV-817.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20335,7 +20352,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1195] +[Document1196] DocumentPath=footprints\Passives\PCB - PASSIVES - IND 0201_0603.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20352,7 +20369,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1196] +[Document1197] DocumentPath=footprints\Passives\PCB - PASSIVES - IND 0402_1005 RF WW.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20369,7 +20386,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1197] +[Document1198] DocumentPath=footprints\Passives\PCB - PASSIVES - IND 0402_1005.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20386,7 +20403,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1198] +[Document1199] DocumentPath=footprints\Passives\PCB - PASSIVES - IND 0806_2016.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20403,7 +20420,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1199] +[Document1200] DocumentPath=footprints\Passives\PCB - PASSIVES - IND 1008_2520.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20420,7 +20437,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1200] +[Document1201] DocumentPath=footprints\Passives\PCB - PASSIVES - NTC 0402_1005.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20437,7 +20454,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1201] +[Document1202] DocumentPath=footprints\Passives\PCB - PASSIVES - NTC 0603_1608.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20454,7 +20471,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1202] +[Document1203] DocumentPath=footprints\Passives\PCB - PASSIVES - NTC 0805_2012.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20471,7 +20488,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1203] +[Document1204] DocumentPath=footprints\Passives\PCB - PASSIVES - TE TP 0805.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20488,7 +20505,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1204] +[Document1205] DocumentPath=footprints\Potentiometer\PCB - POTENTIOMETER - POT BOURNS TRIMPOT 3302.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20505,7 +20522,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1205] +[Document1206] DocumentPath=footprints\Potentiometer\PCB - POTENTIOMETER - POT BOURNS TRIMPOT TC33.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20522,7 +20539,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1206] +[Document1207] DocumentPath=footprints\Potentiometer\PCB - POTENTIOMETER - POT MODEL91A.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20539,7 +20556,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1207] +[Document1208] DocumentPath=footprints\Potentiometer\PCB - POTENTIOMETER - POT MURATA PVA2.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20556,7 +20573,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1208] +[Document1209] DocumentPath=footprints\Potentiometer\PCB - POTENTIOMETER - POT PANASONIC EVM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20573,7 +20590,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1209] +[Document1210] DocumentPath=footprints\Potentiometer\PCB - POTENTIOMETER - POT PANASONIC EVM2W.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20590,7 +20607,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1210] +[Document1211] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - BOURNS SRP5030T.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20607,7 +20624,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1211] +[Document1212] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - BOURNS SRR1280.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20624,7 +20641,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1212] +[Document1213] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - BOURNS SRR6028.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20641,7 +20658,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1213] +[Document1214] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TAIYO YUDEN MAMK2520T1R0M.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20658,7 +20675,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1214] +[Document1215] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK SLF10145.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20675,7 +20692,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1215] +[Document1216] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK SLF10165.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20692,7 +20709,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1216] +[Document1217] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK SLF12555.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20709,7 +20726,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1217] +[Document1218] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK SLF12565.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20726,7 +20743,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1218] +[Document1219] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK SLF12575.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20743,7 +20760,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1219] +[Document1220] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK SPM3012.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20760,7 +20777,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1220] +[Document1221] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK SPM3012-LR.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20777,7 +20794,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1221] +[Document1222] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK SPM3020-LR.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20794,7 +20811,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1222] +[Document1223] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK VLCF4024-2.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20811,7 +20828,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1223] +[Document1224] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK VLCF4028-2.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20828,7 +20845,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1224] +[Document1225] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK VLS201612CX.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20845,7 +20862,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1225] +[Document1226] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK VLS201612HBX-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20862,7 +20879,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1226] +[Document1227] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK VLS252010CX.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20879,7 +20896,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1227] +[Document1228] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK VLS252010HBX-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20896,7 +20913,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1228] +[Document1229] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK VLS252012CX.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20913,7 +20930,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1229] +[Document1230] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - TDK VLS252012HBX-1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20930,7 +20947,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1230] +[Document1231] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - WURTH 5040.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20947,7 +20964,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1231] +[Document1232] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - WURTH WE-HCF 14.5MM.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -20964,7 +20981,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1232] +[Document1233] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - WURTH WE-HCI 7040.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20981,7 +20998,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1233] +[Document1234] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - WURTH WE-HCI 7050.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -20998,7 +21015,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1234] +[Document1235] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - WURTH WE-HCL 1830 H9.0MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21015,7 +21032,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1235] +[Document1236] DocumentPath=footprints\Power Inductors\PCB - POWER INDUCTORS - WURTH WE-HCM H9.0MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21032,7 +21049,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1236] +[Document1237] DocumentPath=footprints\Power Module\PCB - POWER MODULE - CUI V78.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21049,7 +21066,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1237] +[Document1238] DocumentPath=footprints\Power Module\PCB - POWER MODULE - MURATA NXE.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21066,7 +21083,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1238] +[Document1239] DocumentPath=footprints\Power Module\PCB - POWER MODULE - MURATA NXJ1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21083,7 +21100,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1239] +[Document1240] DocumentPath=footprints\Power Module\PCB - POWER MODULE - TI MICROSIP-8 SIL0008E.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21100,7 +21117,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1240] +[Document1241] DocumentPath=footprints\Resistor - Array\PCB - RESISTOR - ARRAY - RESNET 0804-8 CONCAVE.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21117,7 +21134,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1241] +[Document1242] DocumentPath=footprints\Resistor - Array\PCB - RESISTOR - ARRAY - RESNET 0804-8 CONVEX.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21134,7 +21151,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1242] +[Document1243] DocumentPath=footprints\Resistor - Array\PCB - RESISTOR - ARRAY - RESNET 1206-8 CONCAVE.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21151,7 +21168,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1243] +[Document1244] DocumentPath=footprints\Resistor - Array\PCB - RESISTOR - ARRAY - RESNET 1206-8 CONVEX.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21168,7 +21185,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1244] +[Document1245] DocumentPath=footprints\Resistor - Array\PCB - RESISTOR - ARRAY - RESNET 1506-16 CONVEX.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21185,7 +21202,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1245] +[Document1246] DocumentPath=footprints\Resistor - Array\PCB - RESISTOR - ARRAY - RESNET 1606-16 CONVEX.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21202,7 +21219,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1246] +[Document1247] DocumentPath=footprints\Resistor - Array\PCB - RESISTOR - ARRAY - RESNET 2506-16 CONCAVE.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21219,7 +21236,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1247] +[Document1248] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 0201_0603.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21236,7 +21253,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1248] +[Document1249] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 0402_1005 RF.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21253,7 +21270,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1249] +[Document1250] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 0402_1005.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21270,7 +21287,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1250] +[Document1251] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 0603_1608 RF.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21287,7 +21304,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1251] +[Document1252] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 0603_1608.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21304,7 +21321,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1252] +[Document1253] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 0805_2012 RF.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21321,7 +21338,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1253] +[Document1254] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 0805_2012.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21338,7 +21355,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1254] +[Document1255] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 1206_3216 RF.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21355,7 +21372,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1255] +[Document1256] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 1206_3216.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21372,7 +21389,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1256] +[Document1257] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 1210_3225.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21389,7 +21406,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1257] +[Document1258] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - RES 2512_6432.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21406,7 +21423,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1258] +[Document1259] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - STACKPOLE CSR0402.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21423,7 +21440,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1259] +[Document1260] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - STACKPOLE CSR0603.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21440,7 +21457,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1260] +[Document1261] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - STACKPOLE CSR0805.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21457,7 +21474,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1261] +[Document1262] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - STACKPOLE CSR1206.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21474,7 +21491,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1262] +[Document1263] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - STACKPOLE CSR1210.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21491,7 +21508,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1263] +[Document1264] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - STACKPOLE CSR2010.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21508,7 +21525,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1264] +[Document1265] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - STACKPOLE CSR2512.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21525,7 +21542,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1265] +[Document1266] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - STACKPOLE CSRN2010.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21542,7 +21559,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1266] +[Document1267] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - STACKPOLE CSRN2512.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21559,7 +21576,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1267] +[Document1268] DocumentPath=footprints\Resistor - Chip\PCB - RESISTOR - CHIP - VISHAY WSHP2818.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21576,7 +21593,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1268] +[Document1269] DocumentPath=footprints\RF Filter\PCB - RF FILTER - JOHANSON BP15 4PAD.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21593,7 +21610,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1269] +[Document1270] DocumentPath=footprints\RF Filter\PCB - RF FILTER - MINICIRCUITS FV1206.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21610,7 +21627,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1270] +[Document1271] DocumentPath=footprints\RF Filter\PCB - RF FILTER - TAIYO YUDEN SMD-5 1.4X1MM.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21627,7 +21644,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1271] +[Document1272] DocumentPath=footprints\RF Filter\PCB - RF FILTER - TDK 1008 4PAD.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21644,7 +21661,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1272] +[Document1273] DocumentPath=footprints\RF Module\PCB - RF MODULE - ATMEL ATWINC1500-MR210PB.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21661,7 +21678,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1273] +[Document1274] DocumentPath=footprints\RF Module\PCB - RF MODULE - DIGI XBEE PCB ANT FOR HDR.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21678,7 +21695,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1274] +[Document1275] DocumentPath=footprints\RF Module\PCB - RF MODULE - DIGI XBEE PCB ANT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21695,7 +21712,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1275] +[Document1276] DocumentPath=footprints\RF Module\PCB - RF MODULE - DIGI XBEE PRO PCB ANT FOR HDR.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21712,7 +21729,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1276] +[Document1277] DocumentPath=footprints\RF Module\PCB - RF MODULE - DIGI XBEE PRO PCB ANT.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21729,7 +21746,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1277] +[Document1278] DocumentPath=footprints\RF Module\PCB - RF MODULE - DIGI XBEE PRO RPSMA FOR HDR.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21746,7 +21763,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1278] +[Document1279] DocumentPath=footprints\RF Module\PCB - RF MODULE - DIGI XBEE PRO RPSMA.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21763,7 +21780,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1279] +[Document1280] DocumentPath=footprints\RF Module\PCB - RF MODULE - DIGI XBEE PRO WIRE 900 FOR HDR.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21780,7 +21797,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1280] +[Document1281] DocumentPath=footprints\RF Module\PCB - RF MODULE - DIGI XBEE PRO WIRE 900.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21797,7 +21814,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1281] +[Document1282] DocumentPath=footprints\RF Module\PCB - RF MODULE - SI LABS WF111A.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21814,7 +21831,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1282] +[Document1283] DocumentPath=footprints\RF Module\PCB - RF MODULE - SI LABS WF111E.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21831,7 +21848,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1283] +[Document1284] DocumentPath=footprints\RF Module\PCB - RF MODULE - SI LABS WF111N.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21848,7 +21865,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1284] +[Document1285] DocumentPath=footprints\RF Module\PCB - RF MODULE - TI CC3000.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21865,7 +21882,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1285] +[Document1286] DocumentPath=footprints\RF Module\PCB - RF MODULE - U-BLOX MAX-8Q.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21882,7 +21899,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1286] +[Document1287] DocumentPath=footprints\RF Module\PCB - RF MODULE - U-BLOX NEO-M8N.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21899,7 +21916,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1287] +[Document1288] DocumentPath=footprints\RF Module\PCB - RF MODULE - U-BLOX NINA-B112.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21916,7 +21933,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1288] +[Document1289] DocumentPath=footprints\RF Module\PCB - RF MODULE - U-BLOX SARA-R4.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 @@ -21933,7 +21950,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1289] +[Document1290] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - ALLEGRO PFF.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21950,7 +21967,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1290] +[Document1291] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - BOSCH BMP085.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21967,7 +21984,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1291] +[Document1292] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - FREESCALE MP3H6115A6.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -21984,7 +22001,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1292] +[Document1293] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - FREESCALE MP3H6115AC6.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22001,7 +22018,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1293] +[Document1294] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - FREESCALE MP3V5050.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22018,7 +22035,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1294] +[Document1295] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - FREESCALE MPXV7002DP.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22035,7 +22052,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1295] +[Document1296] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - MEAS SPEC MS5611-01BA03.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22052,7 +22069,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1296] +[Document1297] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - TALEMA AC1005.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22069,7 +22086,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1297] +[Document1298] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - TALEMA AC1010.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22086,7 +22103,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1298] +[Document1299] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - TALEMA AC1015.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22103,7 +22120,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1299] +[Document1300] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - TALEMA AC1020.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22120,7 +22137,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1300] +[Document1301] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - TALEMA AC1025.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22137,7 +22154,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1301] +[Document1302] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - TALEMA AC1030.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22154,7 +22171,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1302] +[Document1303] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - TALEMA AC1040.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22171,7 +22188,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1303] +[Document1304] DocumentPath=footprints\SpecialisedSensor\PCB - SPECIALISEDSENSOR - TALEMA AC1050.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22188,7 +22205,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1304] +[Document1305] DocumentPath=footprints\Switch\PCB - SWITCH - COTO TECHNOLOGY - CT10 G1.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22205,7 +22222,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1305] +[Document1306] DocumentPath=footprints\Switch\PCB - SWITCH - CTS 218-2LPJ.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22222,7 +22239,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1306] +[Document1307] DocumentPath=footprints\Switch\PCB - SWITCH - CTS 218-4LPJ.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22239,7 +22256,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1307] +[Document1308] DocumentPath=footprints\Switch\PCB - SWITCH - CTS 218-6LPJ.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22256,7 +22273,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1308] +[Document1309] DocumentPath=footprints\Switch\PCB - SWITCH - NKK SS312SAH4.PCBLIB AnnotationEnabled=1 AnnotateStartValue=1 @@ -22273,7 +22290,7 @@ DItemRevisionGUID= GenerateClassCluster=0 DocumentUniqueId= -[Document1309] +[Document1310] DocumentPath=footprints\Switch\PCB - SWITCH - OMRON B3S-1000P.PcbLib AnnotationEnabled=1 AnnotateStartValue=1 diff --git a/STEP/Pot/POT BOURNS TRIMPOT 3224W.STEP b/STEP/Pot/POT BOURNS TRIMPOT 3224W.STEP new file mode 100644 index 0000000000..41b1f7c5a9 --- /dev/null +++ b/STEP/Pot/POT BOURNS TRIMPOT 3224W.STEP @@ -0,0 +1,3852 @@ +ISO-10303-21; +HEADER; +/* Generated by software containing ST-Developer + * from STEP Tools, Inc. (www.steptools.com) + */ + +FILE_DESCRIPTION( +/* description */ (''), +/* implementation_level */ '2;1'); + +FILE_NAME( +/* name */ +'C:\\Users\\Ronald Kortekaas\\surfdrive\\_ALTIUM\\altium-library\\STEP +\\Pot\\POT BOURNS TRIMPOT 3224W.STEP', +/* time_stamp */ '2020-05-18T19:27:41+02:00', +/* author */ ('Ronald Kortekaas'), +/* organization */ ('Bourns, Inc.'), +/* preprocessor_version */ 'ST-DEVELOPER v17.2', +/* originating_system */ 'Autodesk Inventor 2019', +/* authorisation */ ''); + +FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); +ENDSEC; + +DATA; +#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#24,#25, +#26,#27,#28,#29,#30,#31,#32,#33,#34,#35,#36,#37,#38,#39,#40,#41,#42,#43, +#44,#45),#3572); +#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#3579,#12); +#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#13),#3571); +#13=MANIFOLD_SOLID_BREP('Solid2',#1848); +#14=( +BOUNDED_CURVE() +B_SPLINE_CURVE(2,(#2981,#2982,#2983),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.0760690470668919,-0.0655735041831281), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((2.56238101666906,2.47087880701811,2.37488370112046)) +REPRESENTATION_ITEM('') +); +#15=( +BOUNDED_CURVE() +B_SPLINE_CURVE(2,(#2984,#2985,#2986),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.514068414600941,-0.503572961258255), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((2.37488533572507,2.47087958440333,2.56238101349545)) +REPRESENTATION_ITEM('') +); +#16=( +BOUNDED_CURVE() +B_SPLINE_CURVE(2,(#2995,#2996,#2997),.UNSPECIFIED.,.F.,.F.) +B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.065573300271644,0.0760690518192367), + .UNSPECIFIED.) +CURVE() +GEOMETRIC_REPRESENTATION_ITEM() +RATIONAL_B_SPLINE_CURVE((2.37487997105834,2.47087707274391,2.5623810995328)) +REPRESENTATION_ITEM('') +); +#17=CONICAL_SURFACE('',#1951,0.723898262201596,0.78539816339867); +#18=CONICAL_SURFACE('',#1957,0.723897943523036,0.78539816339867); +#19=FACE_BOUND('',#262,.T.); +#20=FACE_BOUND('',#263,.T.); +#21=FACE_BOUND('',#266,.T.); +#22=FACE_BOUND('',#269,.T.); +#23=FACE_BOUND('',#273,.T.); +#24=STYLED_ITEM('',(#3589),#1781); +#25=STYLED_ITEM('',(#3589),#1782); +#26=STYLED_ITEM('',(#3589),#1783); +#27=STYLED_ITEM('',(#3589),#1784); +#28=STYLED_ITEM('',(#3589),#1785); +#29=STYLED_ITEM('',(#3589),#1786); +#30=STYLED_ITEM('',(#3589),#1787); +#31=STYLED_ITEM('',(#3589),#1788); +#32=STYLED_ITEM('',(#3588),#1789); +#33=STYLED_ITEM('',(#3588),#1790); +#34=STYLED_ITEM('',(#3588),#1791); +#35=STYLED_ITEM('',(#3588),#1792); +#36=STYLED_ITEM('',(#3588),#1793); +#37=STYLED_ITEM('',(#3588),#1794); +#38=STYLED_ITEM('',(#3588),#1795); +#39=STYLED_ITEM('',(#3588),#1796); +#40=STYLED_ITEM('',(#3589),#1798); +#41=STYLED_ITEM('',(#3589),#1802); +#42=STYLED_ITEM('',(#3589),#1804); +#43=STYLED_ITEM('',(#3589),#1805); +#44=STYLED_ITEM('',(#3589),#1809); +#45=STYLED_ITEM('',(#3588),#13); +#46=ELLIPSE('',#1929,0.302491427450008,0.177800000000025); +#47=ELLIPSE('',#1934,0.302491427450008,0.177800000000025); +#48=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2731,#2732,#2733,#2734),(#2735, +#2736,#2737,#2738),(#2739,#2740,#2741,#2742),(#2743,#2744,#2745,#2746), +(#2747,#2748,#2749,#2750),(#2751,#2752,#2753,#2754),(#2755,#2756,#2757, +#2758)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09478654757827, +0.,0.5000000000002,1.,1.096115324839),(-9.493154432097E-5,1.000000003702), + .UNSPECIFIED.); +#49=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2767,#2768,#2769,#2770),(#2771, +#2772,#2773,#2774),(#2775,#2776,#2777,#2778),(#2779,#2780,#2781,#2782), +(#2783,#2784,#2785,#2786),(#2787,#2788,#2789,#2790),(#2791,#2792,#2793, +#2794)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09381026661833, +0.,0.4999999999994,1.,1.094804951961),(-9.49256062706E-5,1.000000003702), + .UNSPECIFIED.); +#50=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2845,#2846,#2847,#2848),(#2849, +#2850,#2851,#2852),(#2853,#2854,#2855,#2856),(#2857,#2858,#2859,#2860), +(#2861,#2862,#2863,#2864),(#2865,#2866,#2867,#2868)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.2481247901047,0.,1.,1.240984849681),(-0.0001160775706607, +0.9999993708416),.UNSPECIFIED.); +#51=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3016,#3017,#3018,#3019),(#3020, +#3021,#3022,#3023),(#3024,#3025,#3026,#3027),(#3028,#3029,#3030,#3031), +(#3032,#3033,#3034,#3035),(#3036,#3037,#3038,#3039)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.09880350881871,0.,1.,1.096231862945),(-1.333954858837E-6, +0.9999900198167),.UNSPECIFIED.); +#52=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3096,#3097,#3098,#3099),(#3100, +#3101,#3102,#3103),(#3104,#3105,#3106,#3107),(#3108,#3109,#3110,#3111), +(#3112,#3113,#3114,#3115),(#3116,#3117,#3118,#3119)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.09606029201336,0.,1.,1.098312603462),(-1.33440130369E-6, +1.000005836121),.UNSPECIFIED.); +#53=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3186,#3187,#3188,#3189),(#3190, +#3191,#3192,#3193),(#3194,#3195,#3196,#3197),(#3198,#3199,#3200,#3201), +(#3202,#3203,#3204,#3205),(#3206,#3207,#3208,#3209),(#3210,#3211,#3212, +#3213)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.06943159461418, +0.,0.4999999999971,1.,1.072472184052),(-3.701410886126E-9,1.000006306043), + .UNSPECIFIED.); +#54=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3238,#3239,#3240,#3241),(#3242, +#3243,#3244,#3245),(#3246,#3247,#3248,#3249),(#3250,#3251,#3252,#3253), +(#3254,#3255,#3256,#3257),(#3258,#3259,#3260,#3261),(#3262,#3263,#3264, +#3265)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.07146287264558, +0.,0.4999999999969,1.,1.069455285087),(-3.699293024684E-9,1.000006305595), + .UNSPECIFIED.); +#55=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3359,#3360,#3361,#3362),(#3363, +#3364,#3365,#3366),(#3367,#3368,#3369,#3370),(#3371,#3372,#3373,#3374), +(#3375,#3376,#3377,#3378),(#3379,#3380,#3381,#3382)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.248124790105,0.,1.,1.240984849681),(-0.0001160775700703, +0.9999993708417),.UNSPECIFIED.); +#56=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3439,#3440,#3441,#3442),(#3443, +#3444,#3445,#3446),(#3447,#3448,#3449,#3450),(#3451,#3452,#3453,#3454), +(#3455,#3456,#3457,#3458),(#3459,#3460,#3461,#3462),(#3463,#3464,#3465, +#3466)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09478654757784, +0.,0.5000000000002,1.,1.096115324839),(-9.493154432766E-5,1.000000003702), + .UNSPECIFIED.); +#57=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3486,#3487,#3488,#3489),(#3490, +#3491,#3492,#3493),(#3494,#3495,#3496,#3497),(#3498,#3499,#3500,#3501), +(#3502,#3503,#3504,#3505),(#3506,#3507,#3508,#3509),(#3510,#3511,#3512, +#3513)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09381026661798, +0.,0.4999999999994,1.,1.094804951961),(-9.492560626939E-5,1.000000003702), + .UNSPECIFIED.); +#58=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3521,#3522,#3523,#3524),(#3525, +#3526,#3527,#3528),(#3529,#3530,#3531,#3532),(#3533,#3534,#3535,#3536), +(#3537,#3538,#3539,#3540),(#3541,#3542,#3543,#3544)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.2368447451957,0.,1.,1.248212467656),(-9.93702146221E-5, +0.9999993707903),.UNSPECIFIED.); +#59=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3545,#3546,#3547,#3548),(#3549, +#3550,#3551,#3552),(#3553,#3554,#3555,#3556),(#3557,#3558,#3559,#3560), +(#3561,#3562,#3563,#3564),(#3565,#3566,#3567,#3568)),.UNSPECIFIED.,.F., + .F.,.F.,(4,1,1,4),(4,4),(-0.236844745196,0.,1.,1.248212467656),(-9.937021452495E-5, +0.9999993707903),.UNSPECIFIED.); +#60=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2684,#2685,#2686,#2687,#2688,#2689, +#2690,#2691,#2692,#2693),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.00000575015005E-6, +0.00123380696852392,0.0024862048322872,0.00386056582495288,0.0052879027718359), + .UNSPECIFIED.); +#61=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2695,#2696,#2697,#2698,#2699,#2700), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000396718804E-6,0.00115992924530918, +0.00230238670468814),.UNSPECIFIED.); +#62=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2706,#2707,#2708,#2709,#2710,#2711), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605306322328841,-0.00302696590621031, +-9.99652149372513E-7),.UNSPECIFIED.); +#63=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2712,#2713,#2714,#2715,#2716,#2717, +#2718,#2719,#2720,#2721,#2722,#2723,#2724,#2725,#2726,#2727,#2728,#2729, +#2730),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(0.,0.220816508237037,0.3333333333333, +0.489206192657986,0.6666666666667,0.89399645729282,1.),.UNSPECIFIED.); +#64=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2796,#2797,#2798,#2799,#2800,#2801, +#2802,#2803,#2804,#2805),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.00000294396185E-6, +0.00143645105021494,0.00281845167803618,0.00406722991353955,0.00529663069931113), + .UNSPECIFIED.); +#65=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2808,#2809,#2810,#2811,#2812,#2813, +#2814,#2815,#2816,#2817,#2818,#2819,#2820,#2821,#2822,#2823,#2824,#2825, +#2826),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(7.35419908645635E-11,0.227288414926828, +0.3333333333333,0.51076350489385,0.6666666666667,0.887512210624448,1.), + .UNSPECIFIED.); +#66=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2829,#2830,#2831,#2832,#2833,#2834), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605269206071522,-0.00302691377159409, +-1.00000159684351E-6),.UNSPECIFIED.); +#67=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2839,#2840,#2841,#2842,#2843,#2844), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000468062386E-6,0.00114308109550267, +0.00230162860949932),.UNSPECIFIED.); +#68=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2914,#2915,#2916,#2917,#2918,#2919), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.55792537424417E-5,0.00620283486813501, +0.0113466525069027),.UNSPECIFIED.); +#69=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2923,#2924,#2925,#2926,#2927,#2928), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.24759682597367E-5,0.00581757202148529, +0.012687703741415),.UNSPECIFIED.); +#70=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3000,#3001,#3002,#3003,#3004,#3005, +#3006,#3007,#3008,#3009,#3010,#3011,#3012),.UNSPECIFIED.,.F.,.F.,(4,3,3, +3,4),(-8.37140836872614E-6,0.0936446466955273,0.3333333333333,0.6666666666667, +0.999993511385095),.UNSPECIFIED.); +#71=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3041,#3042,#3043,#3044,#3045,#3046, +#3047,#3048,#3049,#3050,#3051,#3052,#3053,#3054,#3055,#3056), + .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.273441752917379,0.3333333333333, +0.6666666666667,0.95007628393359,1.),.UNSPECIFIED.); +#72=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3059,#3060,#3061,#3062,#3063,#3064), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00596050981763189,-0.00297595303522026, +-2.49364706131925E-5),.UNSPECIFIED.); +#73=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3067,#3068,#3069,#3070,#3071,#3072, +#3073,#3074,#3075,#3076),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.,0.3333333333333, +0.6666666666667,1.),.UNSPECIFIED.); +#74=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3080,#3081,#3082,#3083,#3084,#3085, +#3086,#3087,#3088,#3089),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.,0.3333333333333, +0.6666666666667,1.),.UNSPECIFIED.); +#75=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3090,#3091,#3092,#3093,#3094,#3095), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00581575601161234,-0.00293195266984108, +-9.99989158212162E-7),.UNSPECIFIED.); +#76=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3122,#3123,#3124,#3125,#3126,#3127, +#3128,#3129,#3130,#3131,#3132,#3133,#3134,#3135,#3136,#3137), + .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.27065979088697,0.3333333333333, +0.6666666666667,0.948735885329666,1.),.UNSPECIFIED.); +#77=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3140,#3141,#3142,#3143,#3144,#3145, +#3146,#3147,#3148,#3149),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.0183569795391286, +-0.0137961919317161,-0.00916128750871987,-0.0045935375743174,-1.00000078799176E-6), + .UNSPECIFIED.); +#78=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3176,#3177,#3178,#3179,#3180,#3181, +#3182,#3183,#3184,#3185),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.0183600863185385, +-0.0137667890570082,-0.00919828348612021,-0.00456257688785396,-1.00000079804793E-6), + .UNSPECIFIED.); +#79=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3218,#3219,#3220,#3221,#3222,#3223), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00948291629798651,-0.00461972991329758, +-1.00003119075301E-6),.UNSPECIFIED.); +#80=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3227,#3228,#3229,#3230,#3231,#3232), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00771071904344865,-0.00395926901564186, +-1.0000215080269E-6),.UNSPECIFIED.); +#81=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3334,#3335,#3336,#3337,#3338,#3339, +#3340,#3341,#3342,#3343,#3344,#3345,#3346,#3347,#3348,#3349,#3350,#3351, +#3352),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(1.52546096237112E-10,0.227288415002531, +0.3333333333333,0.5107635048939,0.6666666666667,0.887512210613693,1.), + .UNSPECIFIED.); +#82=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3353,#3354,#3355,#3356,#3357,#3358), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605269194909099,-0.0030269129979853, +-1.00000056369064E-6),.UNSPECIFIED.); +#83=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3385,#3386,#3387,#3388,#3389,#3390), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000468068608E-6,0.00114308109554715, +0.0023016286094967),.UNSPECIFIED.); +#84=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3394,#3395,#3396,#3397,#3398,#3399, +#3400,#3401,#3402,#3403),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.00529647974705414, +-0.00404937544316255,-0.00278208606070887,-0.00141763824498154,-1.00000290148539E-6), + .UNSPECIFIED.); +#85=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3414,#3415,#3416,#3417,#3418,#3419), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605306322277138,-0.00302696590620829, +-9.99652156635829E-7),.UNSPECIFIED.); +#86=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3420,#3421,#3422,#3423,#3424,#3425, +#3426,#3427,#3428,#3429,#3430,#3431,#3432,#3433,#3434,#3435,#3436,#3437, +#3438),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(0.,0.220816508237234,0.3333333333333, +0.489206192658008,0.6666666666667,0.893996457286346,1.),.UNSPECIFIED.); +#87=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3471,#3472,#3473,#3474,#3475,#3476, +#3477,#3478,#3479,#3480),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.00528793735653128, +-0.00386876906091736,-0.00250202891149227,-0.00124154279516438,-1.00000578118369E-6), + .UNSPECIFIED.); +#88=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3515,#3516,#3517,#3518,#3519,#3520), + .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000396718652E-6,0.00115992924525438, +0.00230238670467601),.UNSPECIFIED.); +#89=CYLINDRICAL_SURFACE('',#1914,0.203199999999213); +#90=CYLINDRICAL_SURFACE('',#1918,0.0761999999999238); +#91=CYLINDRICAL_SURFACE('',#1923,0.0762000000002286); +#92=CYLINDRICAL_SURFACE('',#1924,0.203200000000127); +#93=CYLINDRICAL_SURFACE('',#1925,0.177800000000178); +#94=CYLINDRICAL_SURFACE('',#1926,0.203200000000127); +#95=CYLINDRICAL_SURFACE('',#1927,0.0762000000002286); +#96=CYLINDRICAL_SURFACE('',#1928,0.177800000000025); +#97=CYLINDRICAL_SURFACE('',#1941,0.812799999999746); +#98=CYLINDRICAL_SURFACE('',#1945,0.762); +#99=CYLINDRICAL_SURFACE('',#1961,0.203199999998908); +#100=CYLINDRICAL_SURFACE('',#1962,0.0761999999999746); +#101=CYLINDRICAL_SURFACE('',#1969,0.0761999999999746); +#102=CYLINDRICAL_SURFACE('',#1971,0.203199999998908); +#103=CYLINDRICAL_SURFACE('',#1975,0.292100000000254); +#104=CYLINDRICAL_SURFACE('',#1978,0.203199999998451); +#105=CYLINDRICAL_SURFACE('',#1982,0.0761999999998476); +#106=CYLINDRICAL_SURFACE('',#1994,0.177800000000025); +#107=CYLINDRICAL_SURFACE('',#2001,0.203199999999213); +#108=CYLINDRICAL_SURFACE('',#2004,0.203200000000127); +#109=CYLINDRICAL_SURFACE('',#2005,0.0762000000002286); +#110=CYLINDRICAL_SURFACE('',#2008,0.0761999999999238); +#111=CYLINDRICAL_SURFACE('',#2010,0.203200000000127); +#112=CYLINDRICAL_SURFACE('',#2011,0.177800000000178); +#113=CYLINDRICAL_SURFACE('',#2012,0.0762000000002286); +#114=FACE_OUTER_BOUND('',#221,.T.); +#115=FACE_OUTER_BOUND('',#222,.T.); +#116=FACE_OUTER_BOUND('',#223,.T.); +#117=FACE_OUTER_BOUND('',#224,.T.); +#118=FACE_OUTER_BOUND('',#225,.T.); +#119=FACE_OUTER_BOUND('',#226,.T.); +#120=FACE_OUTER_BOUND('',#227,.T.); +#121=FACE_OUTER_BOUND('',#228,.T.); +#122=FACE_OUTER_BOUND('',#229,.T.); +#123=FACE_OUTER_BOUND('',#230,.T.); +#124=FACE_OUTER_BOUND('',#231,.T.); +#125=FACE_OUTER_BOUND('',#232,.T.); +#126=FACE_OUTER_BOUND('',#233,.T.); +#127=FACE_OUTER_BOUND('',#234,.T.); +#128=FACE_OUTER_BOUND('',#235,.T.); +#129=FACE_OUTER_BOUND('',#236,.T.); +#130=FACE_OUTER_BOUND('',#237,.T.); +#131=FACE_OUTER_BOUND('',#238,.T.); +#132=FACE_OUTER_BOUND('',#239,.T.); +#133=FACE_OUTER_BOUND('',#240,.T.); +#134=FACE_OUTER_BOUND('',#241,.T.); +#135=FACE_OUTER_BOUND('',#242,.T.); +#136=FACE_OUTER_BOUND('',#243,.T.); +#137=FACE_OUTER_BOUND('',#244,.T.); +#138=FACE_OUTER_BOUND('',#245,.T.); +#139=FACE_OUTER_BOUND('',#246,.T.); +#140=FACE_OUTER_BOUND('',#247,.T.); +#141=FACE_OUTER_BOUND('',#248,.T.); +#142=FACE_OUTER_BOUND('',#249,.T.); +#143=FACE_OUTER_BOUND('',#250,.T.); +#144=FACE_OUTER_BOUND('',#251,.T.); +#145=FACE_OUTER_BOUND('',#252,.T.); +#146=FACE_OUTER_BOUND('',#253,.T.); +#147=FACE_OUTER_BOUND('',#254,.T.); +#148=FACE_OUTER_BOUND('',#255,.T.); +#149=FACE_OUTER_BOUND('',#256,.T.); +#150=FACE_OUTER_BOUND('',#257,.T.); +#151=FACE_OUTER_BOUND('',#258,.T.); +#152=FACE_OUTER_BOUND('',#259,.T.); +#153=FACE_OUTER_BOUND('',#260,.T.); +#154=FACE_OUTER_BOUND('',#261,.T.); +#155=FACE_OUTER_BOUND('',#264,.T.); +#156=FACE_OUTER_BOUND('',#265,.T.); +#157=FACE_OUTER_BOUND('',#267,.T.); +#158=FACE_OUTER_BOUND('',#268,.T.); +#159=FACE_OUTER_BOUND('',#270,.T.); +#160=FACE_OUTER_BOUND('',#271,.T.); +#161=FACE_OUTER_BOUND('',#272,.T.); +#162=FACE_OUTER_BOUND('',#274,.T.); +#163=FACE_OUTER_BOUND('',#275,.T.); +#164=FACE_OUTER_BOUND('',#276,.T.); +#165=FACE_OUTER_BOUND('',#277,.T.); +#166=FACE_OUTER_BOUND('',#278,.T.); +#167=FACE_OUTER_BOUND('',#279,.T.); +#168=FACE_OUTER_BOUND('',#280,.T.); +#169=FACE_OUTER_BOUND('',#281,.T.); +#170=FACE_OUTER_BOUND('',#282,.T.); +#171=FACE_OUTER_BOUND('',#283,.T.); +#172=FACE_OUTER_BOUND('',#284,.T.); +#173=FACE_OUTER_BOUND('',#285,.T.); +#174=FACE_OUTER_BOUND('',#286,.T.); +#175=FACE_OUTER_BOUND('',#287,.T.); +#176=FACE_OUTER_BOUND('',#288,.T.); +#177=FACE_OUTER_BOUND('',#289,.T.); +#178=FACE_OUTER_BOUND('',#290,.T.); +#179=FACE_OUTER_BOUND('',#291,.T.); +#180=FACE_OUTER_BOUND('',#292,.T.); +#181=FACE_OUTER_BOUND('',#293,.T.); +#182=FACE_OUTER_BOUND('',#294,.T.); +#183=FACE_OUTER_BOUND('',#295,.T.); +#184=FACE_OUTER_BOUND('',#296,.T.); +#185=FACE_OUTER_BOUND('',#297,.T.); +#186=FACE_OUTER_BOUND('',#298,.T.); +#187=FACE_OUTER_BOUND('',#299,.T.); +#188=FACE_OUTER_BOUND('',#300,.T.); +#189=FACE_OUTER_BOUND('',#301,.T.); +#190=FACE_OUTER_BOUND('',#302,.T.); +#191=FACE_OUTER_BOUND('',#303,.T.); +#192=FACE_OUTER_BOUND('',#304,.T.); +#193=FACE_OUTER_BOUND('',#305,.T.); +#194=FACE_OUTER_BOUND('',#306,.T.); +#195=FACE_OUTER_BOUND('',#307,.T.); +#196=FACE_OUTER_BOUND('',#308,.T.); +#197=FACE_OUTER_BOUND('',#309,.T.); +#198=FACE_OUTER_BOUND('',#310,.T.); +#199=FACE_OUTER_BOUND('',#311,.T.); +#200=FACE_OUTER_BOUND('',#312,.T.); +#201=FACE_OUTER_BOUND('',#313,.T.); +#202=FACE_OUTER_BOUND('',#314,.T.); +#203=FACE_OUTER_BOUND('',#315,.T.); +#204=FACE_OUTER_BOUND('',#316,.T.); +#205=FACE_OUTER_BOUND('',#317,.T.); +#206=FACE_OUTER_BOUND('',#318,.T.); +#207=FACE_OUTER_BOUND('',#319,.T.); +#208=FACE_OUTER_BOUND('',#320,.T.); +#209=FACE_OUTER_BOUND('',#321,.T.); +#210=FACE_OUTER_BOUND('',#322,.T.); +#211=FACE_OUTER_BOUND('',#323,.T.); +#212=FACE_OUTER_BOUND('',#324,.T.); +#213=FACE_OUTER_BOUND('',#325,.T.); +#214=FACE_OUTER_BOUND('',#326,.T.); +#215=FACE_OUTER_BOUND('',#327,.T.); +#216=FACE_OUTER_BOUND('',#328,.T.); +#217=FACE_OUTER_BOUND('',#329,.T.); +#218=FACE_OUTER_BOUND('',#330,.T.); +#219=FACE_OUTER_BOUND('',#331,.T.); +#220=FACE_OUTER_BOUND('',#332,.T.); +#221=EDGE_LOOP('',(#1153,#1154,#1155,#1156)); +#222=EDGE_LOOP('',(#1157,#1158,#1159,#1160)); +#223=EDGE_LOOP('',(#1161,#1162,#1163,#1164)); +#224=EDGE_LOOP('',(#1165,#1166,#1167,#1168)); +#225=EDGE_LOOP('',(#1169,#1170,#1171,#1172)); +#226=EDGE_LOOP('',(#1173,#1174,#1175,#1176)); +#227=EDGE_LOOP('',(#1177,#1178,#1179,#1180)); +#228=EDGE_LOOP('',(#1181,#1182,#1183,#1184)); +#229=EDGE_LOOP('',(#1185,#1186,#1187,#1188)); +#230=EDGE_LOOP('',(#1189,#1190,#1191,#1192)); +#231=EDGE_LOOP('',(#1193,#1194,#1195,#1196)); +#232=EDGE_LOOP('',(#1197,#1198,#1199,#1200)); +#233=EDGE_LOOP('',(#1201,#1202,#1203,#1204)); +#234=EDGE_LOOP('',(#1205,#1206,#1207,#1208)); +#235=EDGE_LOOP('',(#1209,#1210,#1211,#1212)); +#236=EDGE_LOOP('',(#1213,#1214,#1215,#1216)); +#237=EDGE_LOOP('',(#1217,#1218,#1219,#1220)); +#238=EDGE_LOOP('',(#1221,#1222,#1223,#1224)); +#239=EDGE_LOOP('',(#1225,#1226,#1227,#1228)); +#240=EDGE_LOOP('',(#1229,#1230,#1231,#1232)); +#241=EDGE_LOOP('',(#1233,#1234,#1235,#1236)); +#242=EDGE_LOOP('',(#1237,#1238,#1239,#1240)); +#243=EDGE_LOOP('',(#1241,#1242,#1243,#1244)); +#244=EDGE_LOOP('',(#1245,#1246,#1247,#1248)); +#245=EDGE_LOOP('',(#1249,#1250,#1251,#1252)); +#246=EDGE_LOOP('',(#1253,#1254,#1255,#1256,#1257)); +#247=EDGE_LOOP('',(#1258,#1259,#1260,#1261,#1262)); +#248=EDGE_LOOP('',(#1263,#1264,#1265,#1266)); +#249=EDGE_LOOP('',(#1267,#1268,#1269,#1270)); +#250=EDGE_LOOP('',(#1271,#1272,#1273,#1274,#1275,#1276)); +#251=EDGE_LOOP('',(#1277,#1278,#1279,#1280,#1281,#1282)); +#252=EDGE_LOOP('',(#1283,#1284,#1285,#1286,#1287)); +#253=EDGE_LOOP('',(#1288,#1289,#1290,#1291,#1292)); +#254=EDGE_LOOP('',(#1293,#1294,#1295,#1296,#1297)); +#255=EDGE_LOOP('',(#1298,#1299,#1300,#1301,#1302,#1303)); +#256=EDGE_LOOP('',(#1304,#1305,#1306,#1307,#1308)); +#257=EDGE_LOOP('',(#1309,#1310,#1311,#1312,#1313)); +#258=EDGE_LOOP('',(#1314,#1315,#1316,#1317,#1318)); +#259=EDGE_LOOP('',(#1319,#1320,#1321,#1322,#1323)); +#260=EDGE_LOOP('',(#1324,#1325,#1326,#1327,#1328,#1329,#1330,#1331)); +#261=EDGE_LOOP('',(#1332,#1333,#1334,#1335)); +#262=EDGE_LOOP('',(#1336,#1337,#1338,#1339,#1340,#1341)); +#263=EDGE_LOOP('',(#1342,#1343,#1344,#1345,#1346,#1347)); +#264=EDGE_LOOP('',(#1348,#1349,#1350,#1351)); +#265=EDGE_LOOP('',(#1352,#1353,#1354,#1355)); +#266=EDGE_LOOP('',(#1356,#1357,#1358,#1359,#1360,#1361,#1362,#1363,#1364, +#1365,#1366,#1367)); +#267=EDGE_LOOP('',(#1368,#1369,#1370,#1371)); +#268=EDGE_LOOP('',(#1372,#1373,#1374,#1375)); +#269=EDGE_LOOP('',(#1376)); +#270=EDGE_LOOP('',(#1377,#1378,#1379,#1380)); +#271=EDGE_LOOP('',(#1381,#1382,#1383,#1384)); +#272=EDGE_LOOP('',(#1385)); +#273=EDGE_LOOP('',(#1386)); +#274=EDGE_LOOP('',(#1387,#1388,#1389,#1390,#1391,#1392,#1393,#1394,#1395, +#1396,#1397,#1398)); +#275=EDGE_LOOP('',(#1399,#1400,#1401,#1402)); +#276=EDGE_LOOP('',(#1403,#1404)); +#277=EDGE_LOOP('',(#1405,#1406,#1407,#1408,#1409,#1410)); +#278=EDGE_LOOP('',(#1411,#1412,#1413,#1414)); +#279=EDGE_LOOP('',(#1415,#1416,#1417,#1418,#1419,#1420)); +#280=EDGE_LOOP('',(#1421,#1422,#1423,#1424,#1425)); +#281=EDGE_LOOP('',(#1426,#1427)); +#282=EDGE_LOOP('',(#1428,#1429,#1430,#1431)); +#283=EDGE_LOOP('',(#1432,#1433,#1434,#1435,#1436,#1437)); +#284=EDGE_LOOP('',(#1438,#1439,#1440,#1441)); +#285=EDGE_LOOP('',(#1442,#1443,#1444,#1445,#1446)); +#286=EDGE_LOOP('',(#1447,#1448,#1449,#1450)); +#287=EDGE_LOOP('',(#1451,#1452,#1453,#1454)); +#288=EDGE_LOOP('',(#1455,#1456,#1457,#1458,#1459,#1460,#1461,#1462)); +#289=EDGE_LOOP('',(#1463,#1464,#1465,#1466)); +#290=EDGE_LOOP('',(#1467,#1468,#1469,#1470)); +#291=EDGE_LOOP('',(#1471,#1472,#1473,#1474)); +#292=EDGE_LOOP('',(#1475,#1476,#1477,#1478,#1479)); +#293=EDGE_LOOP('',(#1480,#1481,#1482,#1483,#1484,#1485)); +#294=EDGE_LOOP('',(#1486,#1487,#1488,#1489)); +#295=EDGE_LOOP('',(#1490,#1491,#1492,#1493,#1494,#1495)); +#296=EDGE_LOOP('',(#1496,#1497,#1498,#1499,#1500,#1501)); +#297=EDGE_LOOP('',(#1502,#1503,#1504,#1505,#1506,#1507)); +#298=EDGE_LOOP('',(#1508,#1509,#1510,#1511)); +#299=EDGE_LOOP('',(#1512,#1513,#1514,#1515)); +#300=EDGE_LOOP('',(#1516,#1517,#1518,#1519)); +#301=EDGE_LOOP('',(#1520,#1521,#1522,#1523)); +#302=EDGE_LOOP('',(#1524,#1525,#1526,#1527)); +#303=EDGE_LOOP('',(#1528,#1529,#1530,#1531)); +#304=EDGE_LOOP('',(#1532,#1533,#1534,#1535)); +#305=EDGE_LOOP('',(#1536,#1537,#1538,#1539,#1540,#1541)); +#306=EDGE_LOOP('',(#1542,#1543,#1544,#1545)); +#307=EDGE_LOOP('',(#1546,#1547,#1548,#1549)); +#308=EDGE_LOOP('',(#1550,#1551,#1552,#1553)); +#309=EDGE_LOOP('',(#1554,#1555,#1556,#1557)); +#310=EDGE_LOOP('',(#1558,#1559,#1560,#1561,#1562,#1563)); +#311=EDGE_LOOP('',(#1564,#1565,#1566,#1567)); +#312=EDGE_LOOP('',(#1568,#1569,#1570,#1571,#1572,#1573,#1574,#1575)); +#313=EDGE_LOOP('',(#1576,#1577,#1578,#1579)); +#314=EDGE_LOOP('',(#1580,#1581,#1582,#1583)); +#315=EDGE_LOOP('',(#1584,#1585,#1586,#1587,#1588)); +#316=EDGE_LOOP('',(#1589,#1590,#1591,#1592,#1593)); +#317=EDGE_LOOP('',(#1594,#1595,#1596,#1597)); +#318=EDGE_LOOP('',(#1598,#1599,#1600,#1601)); +#319=EDGE_LOOP('',(#1602,#1603,#1604,#1605,#1606,#1607)); +#320=EDGE_LOOP('',(#1608,#1609,#1610,#1611,#1612)); +#321=EDGE_LOOP('',(#1613,#1614,#1615,#1616,#1617)); +#322=EDGE_LOOP('',(#1618,#1619,#1620,#1621,#1622)); +#323=EDGE_LOOP('',(#1623,#1624,#1625,#1626,#1627,#1628)); +#324=EDGE_LOOP('',(#1629,#1630,#1631,#1632)); +#325=EDGE_LOOP('',(#1633,#1634,#1635,#1636)); +#326=EDGE_LOOP('',(#1637,#1638,#1639,#1640,#1641)); +#327=EDGE_LOOP('',(#1642,#1643,#1644,#1645,#1646)); +#328=EDGE_LOOP('',(#1647,#1648,#1649,#1650,#1651,#1652)); +#329=EDGE_LOOP('',(#1653,#1654,#1655,#1656,#1657)); +#330=EDGE_LOOP('',(#1658,#1659,#1660,#1661,#1662)); +#331=EDGE_LOOP('',(#1663,#1664,#1665,#1666,#1667)); +#332=EDGE_LOOP('',(#1668,#1669,#1670,#1671,#1672)); +#333=CIRCLE('',#1869,0.0761999999998476); +#334=CIRCLE('',#1870,0.203199999998451); +#335=CIRCLE('',#1874,0.0761999999998476); +#336=CIRCLE('',#1875,0.203199999998451); +#337=CIRCLE('',#1878,0.0761999999999746); +#338=CIRCLE('',#1879,0.203199999998908); +#339=CIRCLE('',#1883,0.203199999999213); +#340=CIRCLE('',#1884,0.0761999999999238); +#341=CIRCLE('',#1886,0.203200000000127); +#342=CIRCLE('',#1887,0.0762000000002286); +#343=CIRCLE('',#1890,0.0761999999999238); +#344=CIRCLE('',#1891,0.203199999999213); +#345=CIRCLE('',#1894,0.0762000000002286); +#346=CIRCLE('',#1895,0.203200000000127); +#347=CIRCLE('',#1899,0.203200000000127); +#348=CIRCLE('',#1900,0.0762000000002286); +#349=CIRCLE('',#1902,0.0761999999999238); +#350=CIRCLE('',#1903,0.203199999999213); +#351=CIRCLE('',#1907,0.0761999999999238); +#352=CIRCLE('',#1908,0.203199999999213); +#353=CIRCLE('',#1911,0.0762000000002286); +#354=CIRCLE('',#1912,0.203200000000127); +#355=CIRCLE('',#1920,0.177800000000178); +#356=CIRCLE('',#1922,0.177800000000178); +#357=CIRCLE('',#1930,0.177800000000025); +#358=CIRCLE('',#1931,0.177800000000025); +#359=CIRCLE('',#1932,0.177800000000025); +#360=CIRCLE('',#1939,0.812799999999746); +#361=CIRCLE('',#1942,0.812799999999746); +#362=CIRCLE('',#1944,0.762); +#363=CIRCLE('',#1946,0.762); +#364=CIRCLE('',#1947,0.762); +#365=CIRCLE('',#1948,0.761998630069288); +#366=CIRCLE('',#1949,0.762); +#367=CIRCLE('',#1950,0.762); +#368=CIRCLE('',#1952,0.685797856064232); +#369=CIRCLE('',#1958,0.685797855938502); +#370=CIRCLE('',#1963,0.0761999999999746); +#371=CIRCLE('',#1968,0.0761999999999746); +#372=CIRCLE('',#1970,0.0761999999999746); +#373=CIRCLE('',#1972,0.203199999998908); +#374=CIRCLE('',#1974,0.292100000000254); +#375=CIRCLE('',#1976,0.292100000000254); +#376=CIRCLE('',#1991,0.177800000000025); +#377=CIRCLE('',#1993,0.177800000000025); +#378=CIRCLE('',#1995,0.177800000000025); +#379=CIRCLE('',#2003,0.177800000000178); +#380=CIRCLE('',#2007,0.177800000000178); +#381=LINE('',#2487,#559); +#382=LINE('',#2491,#560); +#383=LINE('',#2495,#561); +#384=LINE('',#2497,#562); +#385=LINE('',#2498,#563); +#386=LINE('',#2501,#564); +#387=LINE('',#2503,#565); +#388=LINE('',#2504,#566); +#389=LINE('',#2508,#567); +#390=LINE('',#2512,#568); +#391=LINE('',#2516,#569); +#392=LINE('',#2518,#570); +#393=LINE('',#2519,#571); +#394=LINE('',#2524,#572); +#395=LINE('',#2529,#573); +#396=LINE('',#2531,#574); +#397=LINE('',#2533,#575); +#398=LINE('',#2534,#576); +#399=LINE('',#2537,#577); +#400=LINE('',#2539,#578); +#401=LINE('',#2540,#579); +#402=LINE('',#2545,#580); +#403=LINE('',#2550,#581); +#404=LINE('',#2554,#582); +#405=LINE('',#2558,#583); +#406=LINE('',#2560,#584); +#407=LINE('',#2561,#585); +#408=LINE('',#2565,#586); +#409=LINE('',#2569,#587); +#410=LINE('',#2573,#588); +#411=LINE('',#2575,#589); +#412=LINE('',#2576,#590); +#413=LINE('',#2581,#591); +#414=LINE('',#2585,#592); +#415=LINE('',#2587,#593); +#416=LINE('',#2588,#594); +#417=LINE('',#2592,#595); +#418=LINE('',#2594,#596); +#419=LINE('',#2596,#597); +#420=LINE('',#2597,#598); +#421=LINE('',#2602,#599); +#422=LINE('',#2608,#600); +#423=LINE('',#2612,#601); +#424=LINE('',#2614,#602); +#425=LINE('',#2615,#603); +#426=LINE('',#2619,#604); +#427=LINE('',#2621,#605); +#428=LINE('',#2623,#606); +#429=LINE('',#2624,#607); +#430=LINE('',#2629,#608); +#431=LINE('',#2633,#609); +#432=LINE('',#2635,#610); +#433=LINE('',#2636,#611); +#434=LINE('',#2640,#612); +#435=LINE('',#2644,#613); +#436=LINE('',#2648,#614); +#437=LINE('',#2650,#615); +#438=LINE('',#2651,#616); +#439=LINE('',#2653,#617); +#440=LINE('',#2654,#618); +#441=LINE('',#2657,#619); +#442=LINE('',#2658,#620); +#443=LINE('',#2660,#621); +#444=LINE('',#2662,#622); +#445=LINE('',#2663,#623); +#446=LINE('',#2665,#624); +#447=LINE('',#2667,#625); +#448=LINE('',#2670,#626); +#449=LINE('',#2673,#627); +#450=LINE('',#2674,#628); +#451=LINE('',#2676,#629); +#452=LINE('',#2678,#630); +#453=LINE('',#2681,#631); +#454=LINE('',#2701,#632); +#455=LINE('',#2704,#633); +#456=LINE('',#2760,#634); +#457=LINE('',#2761,#635); +#458=LINE('',#2762,#636); +#459=LINE('',#2765,#637); +#460=LINE('',#2766,#638); +#461=LINE('',#2807,#639); +#462=LINE('',#2835,#640); +#463=LINE('',#2838,#641); +#464=LINE('',#2870,#642); +#465=LINE('',#2871,#643); +#466=LINE('',#2878,#644); +#467=LINE('',#2879,#645); +#468=LINE('',#2885,#646); +#469=LINE('',#2887,#647); +#470=LINE('',#2889,#648); +#471=LINE('',#2890,#649); +#472=LINE('',#2891,#650); +#473=LINE('',#2892,#651); +#474=LINE('',#2893,#652); +#475=LINE('',#2894,#653); +#476=LINE('',#2896,#654); +#477=LINE('',#2899,#655); +#478=LINE('',#2903,#656); +#479=LINE('',#2904,#657); +#480=LINE('',#2905,#658); +#481=LINE('',#2908,#659); +#482=LINE('',#2910,#660); +#483=LINE('',#2911,#661); +#484=LINE('',#2921,#662); +#485=LINE('',#2929,#663); +#486=LINE('',#2931,#664); +#487=LINE('',#2933,#665); +#488=LINE('',#2935,#666); +#489=LINE('',#2937,#667); +#490=LINE('',#2939,#668); +#491=LINE('',#2941,#669); +#492=LINE('',#2942,#670); +#493=LINE('',#2944,#671); +#494=LINE('',#2946,#672); +#495=LINE('',#2952,#673); +#496=LINE('',#2959,#674); +#497=LINE('',#2963,#675); +#498=LINE('',#2967,#676); +#499=LINE('',#2971,#677); +#500=LINE('',#2975,#678); +#501=LINE('',#2988,#679); +#502=LINE('',#2990,#680); +#503=LINE('',#2992,#681); +#504=LINE('',#2999,#682); +#505=LINE('',#3058,#683); +#506=LINE('',#3078,#684); +#507=LINE('',#3121,#685); +#508=LINE('',#3150,#686); +#509=LINE('',#3153,#687); +#510=LINE('',#3157,#688); +#511=LINE('',#3158,#689); +#512=LINE('',#3161,#690); +#513=LINE('',#3162,#691); +#514=LINE('',#3164,#692); +#515=LINE('',#3165,#693); +#516=LINE('',#3167,#694); +#517=LINE('',#3175,#695); +#518=LINE('',#3215,#696); +#519=LINE('',#3217,#697); +#520=LINE('',#3236,#698); +#521=LINE('',#3237,#699); +#522=LINE('',#3267,#700); +#523=LINE('',#3269,#701); +#524=LINE('',#3272,#702); +#525=LINE('',#3273,#703); +#526=LINE('',#3275,#704); +#527=LINE('',#3277,#705); +#528=LINE('',#3278,#706); +#529=LINE('',#3280,#707); +#530=LINE('',#3291,#708); +#531=LINE('',#3294,#709); +#532=LINE('',#3297,#710); +#533=LINE('',#3301,#711); +#534=LINE('',#3302,#712); +#535=LINE('',#3304,#713); +#536=LINE('',#3306,#714); +#537=LINE('',#3309,#715); +#538=LINE('',#3312,#716); +#539=LINE('',#3314,#717); +#540=LINE('',#3315,#718); +#541=LINE('',#3317,#719); +#542=LINE('',#3318,#720); +#543=LINE('',#3320,#721); +#544=LINE('',#3321,#722); +#545=LINE('',#3324,#723); +#546=LINE('',#3326,#724); +#547=LINE('',#3328,#725); +#548=LINE('',#3331,#726); +#549=LINE('',#3384,#727); +#550=LINE('',#3393,#728); +#551=LINE('',#3405,#729); +#552=LINE('',#3408,#730); +#553=LINE('',#3409,#731); +#554=LINE('',#3468,#732); +#555=LINE('',#3470,#733); +#556=LINE('',#3481,#734); +#557=LINE('',#3484,#735); +#558=LINE('',#3485,#736); +#559=VECTOR('',#2017,0.126999999999263); +#560=VECTOR('',#2020,0.126999999999314); +#561=VECTOR('',#2025,0.2921); +#562=VECTOR('',#2026,0.126999999999467); +#563=VECTOR('',#2027,0.2921); +#564=VECTOR('',#2030,0.91083743404666); +#565=VECTOR('',#2031,0.126999999999975); +#566=VECTOR('',#2032,0.91083743404666); +#567=VECTOR('',#2035,0.126999999999314); +#568=VECTOR('',#2038,0.126999999999314); +#569=VECTOR('',#2043,0.2921); +#570=VECTOR('',#2044,0.126999999999467); +#571=VECTOR('',#2045,0.2921); +#572=VECTOR('',#2050,0.127053395926838); +#573=VECTOR('',#2055,0.126999999999695); +#574=VECTOR('',#2056,0.6837426); +#575=VECTOR('',#2057,0.127000000000051); +#576=VECTOR('',#2058,0.18249167774675); +#577=VECTOR('',#2061,0.6837426); +#578=VECTOR('',#2062,0.127); +#579=VECTOR('',#2063,0.18249167774675); +#580=VECTOR('',#2068,0.127000000000076); +#581=VECTOR('',#2073,0.127); +#582=VECTOR('',#2076,0.126999999999947); +#583=VECTOR('',#2081,0.174653531762959); +#584=VECTOR('',#2082,0.1569806331425); +#585=VECTOR('',#2083,0.0823826307062876); +#586=VECTOR('',#2086,0.12699999999967); +#587=VECTOR('',#2089,0.127000000000102); +#588=VECTOR('',#2094,0.321035238121026); +#589=VECTOR('',#2095,0.127); +#590=VECTOR('',#2096,0.321035238121026); +#591=VECTOR('',#2101,0.126999999999947); +#592=VECTOR('',#2106,0.082382630706267); +#593=VECTOR('',#2107,0.156980633142475); +#594=VECTOR('',#2108,0.174653531762934); +#595=VECTOR('',#2111,0.127000000000076); +#596=VECTOR('',#2112,0.321035238121026); +#597=VECTOR('',#2113,0.127); +#598=VECTOR('',#2114,0.321035238121026); +#599=VECTOR('',#2119,0.126999999999947); +#600=VECTOR('',#2126,0.126999999999644); +#601=VECTOR('',#2131,0.174653531762959); +#602=VECTOR('',#2132,0.156980633142475); +#603=VECTOR('',#2133,0.0823826307062876); +#604=VECTOR('',#2136,0.126999999999619); +#605=VECTOR('',#2137,0.6837426); +#606=VECTOR('',#2138,0.126999999999949); +#607=VECTOR('',#2139,0.6837426); +#608=VECTOR('',#2144,0.127000000000102); +#609=VECTOR('',#2149,0.6837426); +#610=VECTOR('',#2150,0.127); +#611=VECTOR('',#2151,0.6837426); +#612=VECTOR('',#2154,0.127); +#613=VECTOR('',#2157,0.126999999999973); +#614=VECTOR('',#2162,0.082382630706267); +#615=VECTOR('',#2163,0.156980633142475); +#616=VECTOR('',#2164,0.174653531762934); +#617=VECTOR('',#2167,0.8128); +#618=VECTOR('',#2168,0.8128); +#619=VECTOR('',#2171,0.866234277746674); +#620=VECTOR('',#2172,0.8128); +#621=VECTOR('',#2175,0.8128); +#622=VECTOR('',#2176,0.866234277746674); +#623=VECTOR('',#2177,0.8128); +#624=VECTOR('',#2180,0.127000000000051); +#625=VECTOR('',#2183,0.8128); +#626=VECTOR('',#2186,0.245322373103472); +#627=VECTOR('',#2189,0.245322373103472); +#628=VECTOR('',#2190,0.321035238121026); +#629=VECTOR('',#2193,0.321035238121026); +#630=VECTOR('',#2194,0.245322373103523); +#631=VECTOR('',#2197,0.245322373103472); +#632=VECTOR('',#2200,0.232006706074357); +#633=VECTOR('',#2203,0.2320065656627); +#634=VECTOR('',#2204,0.127); +#635=VECTOR('',#2205,0.127000493274018); +#636=VECTOR('',#2206,0.127); +#637=VECTOR('',#2209,0.127); +#638=VECTOR('',#2210,0.127); +#639=VECTOR('',#2211,0.12700000000005); +#640=VECTOR('',#2214,0.232006843874742); +#641=VECTOR('',#2217,0.232006706074382); +#642=VECTOR('',#2218,0.127000000000004); +#643=VECTOR('',#2219,0.127000000000004); +#644=VECTOR('',#2226,0.127000000000005); +#645=VECTOR('',#2227,0.127000000000005); +#646=VECTOR('',#2234,4.826); +#647=VECTOR('',#2235,5.08); +#648=VECTOR('',#2236,4.826); +#649=VECTOR('',#2237,5.08); +#650=VECTOR('',#2238,0.8128); +#651=VECTOR('',#2239,0.272538439314716); +#652=VECTOR('',#2240,0.272538439314716); +#653=VECTOR('',#2241,0.8128); +#654=VECTOR('',#2242,0.272538439314716); +#655=VECTOR('',#2245,0.272538439314716); +#656=VECTOR('',#2248,4.826); +#657=VECTOR('',#2249,3.5306); +#658=VECTOR('',#2250,3.5306); +#659=VECTOR('',#2253,5.08); +#660=VECTOR('',#2254,4.826); +#661=VECTOR('',#2255,5.08); +#662=VECTOR('',#2256,10.); +#663=VECTOR('',#2257,0.353040843572222); +#664=VECTOR('',#2258,0.127); +#665=VECTOR('',#2259,0.355514591201298); +#666=VECTOR('',#2260,1.016); +#667=VECTOR('',#2261,0.355514591201298); +#668=VECTOR('',#2262,0.127); +#669=VECTOR('',#2263,0.127053395926863); +#670=VECTOR('',#2264,10.); +#671=VECTOR('',#2267,3.5306); +#672=VECTOR('',#2270,3.5306); +#673=VECTOR('',#2277,0.812799999999746); +#674=VECTOR('',#2286,0.762); +#675=VECTOR('',#2289,10.); +#676=VECTOR('',#2292,10.); +#677=VECTOR('',#2295,0.431800736917007); +#678=VECTOR('',#2298,0.431801298708078); +#679=VECTOR('',#2307,10.); +#680=VECTOR('',#2310,10.); +#681=VECTOR('',#2313,10.); +#682=VECTOR('',#2316,10.); +#683=VECTOR('',#2323,0.105575328154684); +#684=VECTOR('',#2326,0.583633960489968); +#685=VECTOR('',#2327,0.105575328154686); +#686=VECTOR('',#2330,0.362209996456892); +#687=VECTOR('',#2333,0.127); +#688=VECTOR('',#2338,0.2794); +#689=VECTOR('',#2339,0.0725931999999746); +#690=VECTOR('',#2342,1.016); +#691=VECTOR('',#2343,1.27); +#692=VECTOR('',#2344,0.127); +#693=VECTOR('',#2345,0.2794); +#694=VECTOR('',#2348,0.0725931999999746); +#695=VECTOR('',#2361,0.362209996456892); +#696=VECTOR('',#2362,0.12699999999967); +#697=VECTOR('',#2363,0.12699999999967); +#698=VECTOR('',#2372,0.12699999999967); +#699=VECTOR('',#2373,0.12699999999967); +#700=VECTOR('',#2376,1.27); +#701=VECTOR('',#2379,1.27); +#702=VECTOR('',#2382,0.91083743404666); +#703=VECTOR('',#2383,1.27); +#704=VECTOR('',#2386,1.27); +#705=VECTOR('',#2387,0.91083743404666); +#706=VECTOR('',#2388,1.27); +#707=VECTOR('',#2391,0.127000000000051); +#708=VECTOR('',#2410,0.232006843874742); +#709=VECTOR('',#2413,0.232006565662674); +#710=VECTOR('',#2416,0.232006706074357); +#711=VECTOR('',#2421,0.126999999999995); +#712=VECTOR('',#2422,0.126999999999995); +#713=VECTOR('',#2423,0.127000000000001); +#714=VECTOR('',#2424,0.127000000000001); +#715=VECTOR('',#2429,0.232006706074382); +#716=VECTOR('',#2432,0.866234277746674); +#717=VECTOR('',#2433,0.126999999999949); +#718=VECTOR('',#2434,0.866234277746674); +#719=VECTOR('',#2437,0.8128); +#720=VECTOR('',#2438,0.8128); +#721=VECTOR('',#2441,0.8128); +#722=VECTOR('',#2442,0.8128); +#723=VECTOR('',#2447,0.8128); +#724=VECTOR('',#2450,0.321035238121026); +#725=VECTOR('',#2451,0.245322373103472); +#726=VECTOR('',#2454,0.245322373103472); +#727=VECTOR('',#2457,0.12700000000005); +#728=VECTOR('',#2460,0.245322373103498); +#729=VECTOR('',#2463,0.8128); +#730=VECTOR('',#2466,0.245322373103498); +#731=VECTOR('',#2467,0.321035238121026); +#732=VECTOR('',#2474,0.127); +#733=VECTOR('',#2475,0.127000493274021); +#734=VECTOR('',#2476,0.127); +#735=VECTOR('',#2479,0.127); +#736=VECTOR('',#2480,0.127); +#737=VERTEX_POINT('',#2485); +#738=VERTEX_POINT('',#2486); +#739=VERTEX_POINT('',#2488); +#740=VERTEX_POINT('',#2490); +#741=VERTEX_POINT('',#2494); +#742=VERTEX_POINT('',#2496); +#743=VERTEX_POINT('',#2500); +#744=VERTEX_POINT('',#2502); +#745=VERTEX_POINT('',#2506); +#746=VERTEX_POINT('',#2507); +#747=VERTEX_POINT('',#2509); +#748=VERTEX_POINT('',#2511); +#749=VERTEX_POINT('',#2515); +#750=VERTEX_POINT('',#2517); +#751=VERTEX_POINT('',#2521); +#752=VERTEX_POINT('',#2523); +#753=VERTEX_POINT('',#2527); +#754=VERTEX_POINT('',#2528); +#755=VERTEX_POINT('',#2530); +#756=VERTEX_POINT('',#2532); +#757=VERTEX_POINT('',#2536); +#758=VERTEX_POINT('',#2538); +#759=VERTEX_POINT('',#2542); +#760=VERTEX_POINT('',#2544); +#761=VERTEX_POINT('',#2548); +#762=VERTEX_POINT('',#2549); +#763=VERTEX_POINT('',#2551); +#764=VERTEX_POINT('',#2553); +#765=VERTEX_POINT('',#2557); +#766=VERTEX_POINT('',#2559); +#767=VERTEX_POINT('',#2563); +#768=VERTEX_POINT('',#2564); +#769=VERTEX_POINT('',#2566); +#770=VERTEX_POINT('',#2568); +#771=VERTEX_POINT('',#2572); +#772=VERTEX_POINT('',#2574); +#773=VERTEX_POINT('',#2578); +#774=VERTEX_POINT('',#2580); +#775=VERTEX_POINT('',#2584); +#776=VERTEX_POINT('',#2586); +#777=VERTEX_POINT('',#2590); +#778=VERTEX_POINT('',#2591); +#779=VERTEX_POINT('',#2593); +#780=VERTEX_POINT('',#2595); +#781=VERTEX_POINT('',#2599); +#782=VERTEX_POINT('',#2601); +#783=VERTEX_POINT('',#2605); +#784=VERTEX_POINT('',#2607); +#785=VERTEX_POINT('',#2611); +#786=VERTEX_POINT('',#2613); +#787=VERTEX_POINT('',#2617); +#788=VERTEX_POINT('',#2618); +#789=VERTEX_POINT('',#2620); +#790=VERTEX_POINT('',#2622); +#791=VERTEX_POINT('',#2626); +#792=VERTEX_POINT('',#2628); +#793=VERTEX_POINT('',#2632); +#794=VERTEX_POINT('',#2634); +#795=VERTEX_POINT('',#2638); +#796=VERTEX_POINT('',#2639); +#797=VERTEX_POINT('',#2641); +#798=VERTEX_POINT('',#2643); +#799=VERTEX_POINT('',#2647); +#800=VERTEX_POINT('',#2649); +#801=VERTEX_POINT('',#2656); +#802=VERTEX_POINT('',#2661); +#803=VERTEX_POINT('',#2669); +#804=VERTEX_POINT('',#2671); +#805=VERTEX_POINT('',#2677); +#806=VERTEX_POINT('',#2679); +#807=VERTEX_POINT('',#2683); +#808=VERTEX_POINT('',#2694); +#809=VERTEX_POINT('',#2703); +#810=VERTEX_POINT('',#2705); +#811=VERTEX_POINT('',#2759); +#812=VERTEX_POINT('',#2764); +#813=VERTEX_POINT('',#2795); +#814=VERTEX_POINT('',#2806); +#815=VERTEX_POINT('',#2828); +#816=VERTEX_POINT('',#2837); +#817=VERTEX_POINT('',#2869); +#818=VERTEX_POINT('',#2873); +#819=VERTEX_POINT('',#2874); +#820=VERTEX_POINT('',#2877); +#821=VERTEX_POINT('',#2883); +#822=VERTEX_POINT('',#2884); +#823=VERTEX_POINT('',#2886); +#824=VERTEX_POINT('',#2888); +#825=VERTEX_POINT('',#2895); +#826=VERTEX_POINT('',#2897); +#827=VERTEX_POINT('',#2901); +#828=VERTEX_POINT('',#2902); +#829=VERTEX_POINT('',#2907); +#830=VERTEX_POINT('',#2909); +#831=VERTEX_POINT('',#2912); +#832=VERTEX_POINT('',#2913); +#833=VERTEX_POINT('',#2920); +#834=VERTEX_POINT('',#2922); +#835=VERTEX_POINT('',#2930); +#836=VERTEX_POINT('',#2932); +#837=VERTEX_POINT('',#2934); +#838=VERTEX_POINT('',#2936); +#839=VERTEX_POINT('',#2938); +#840=VERTEX_POINT('',#2940); +#841=VERTEX_POINT('',#2947); +#842=VERTEX_POINT('',#2951); +#843=VERTEX_POINT('',#2955); +#844=VERTEX_POINT('',#2958); +#845=VERTEX_POINT('',#2960); +#846=VERTEX_POINT('',#2962); +#847=VERTEX_POINT('',#2964); +#848=VERTEX_POINT('',#2966); +#849=VERTEX_POINT('',#2968); +#850=VERTEX_POINT('',#2970); +#851=VERTEX_POINT('',#2972); +#852=VERTEX_POINT('',#2974); +#853=VERTEX_POINT('',#2978); +#854=VERTEX_POINT('',#2979); +#855=VERTEX_POINT('',#2994); +#856=VERTEX_POINT('',#2998); +#857=VERTEX_POINT('',#3040); +#858=VERTEX_POINT('',#3057); +#859=VERTEX_POINT('',#3066); +#860=VERTEX_POINT('',#3077); +#861=VERTEX_POINT('',#3079); +#862=VERTEX_POINT('',#3120); +#863=VERTEX_POINT('',#3139); +#864=VERTEX_POINT('',#3152); +#865=VERTEX_POINT('',#3156); +#866=VERTEX_POINT('',#3160); +#867=VERTEX_POINT('',#3163); +#868=VERTEX_POINT('',#3174); +#869=VERTEX_POINT('',#3214); +#870=VERTEX_POINT('',#3216); +#871=VERTEX_POINT('',#3225); +#872=VERTEX_POINT('',#3235); +#873=VERTEX_POINT('',#3271); +#874=VERTEX_POINT('',#3276); +#875=VERTEX_POINT('',#3290); +#876=VERTEX_POINT('',#3292); +#877=VERTEX_POINT('',#3296); +#878=VERTEX_POINT('',#3300); +#879=VERTEX_POINT('',#3303); +#880=VERTEX_POINT('',#3305); +#881=VERTEX_POINT('',#3311); +#882=VERTEX_POINT('',#3313); +#883=VERTEX_POINT('',#3327); +#884=VERTEX_POINT('',#3329); +#885=VERTEX_POINT('',#3333); +#886=VERTEX_POINT('',#3383); +#887=VERTEX_POINT('',#3392); +#888=VERTEX_POINT('',#3406); +#889=VERTEX_POINT('',#3413); +#890=VERTEX_POINT('',#3467); +#891=VERTEX_POINT('',#3469); +#892=VERTEX_POINT('',#3483); +#893=EDGE_CURVE('',#737,#738,#381,.T.); +#894=EDGE_CURVE('',#739,#737,#333,.T.); +#895=EDGE_CURVE('',#739,#740,#382,.T.); +#896=EDGE_CURVE('',#738,#740,#334,.T.); +#897=EDGE_CURVE('',#741,#738,#383,.T.); +#898=EDGE_CURVE('',#742,#741,#384,.T.); +#899=EDGE_CURVE('',#742,#737,#385,.T.); +#900=EDGE_CURVE('',#739,#743,#386,.T.); +#901=EDGE_CURVE('',#744,#743,#387,.T.); +#902=EDGE_CURVE('',#740,#744,#388,.T.); +#903=EDGE_CURVE('',#745,#746,#389,.T.); +#904=EDGE_CURVE('',#747,#745,#335,.T.); +#905=EDGE_CURVE('',#747,#748,#390,.T.); +#906=EDGE_CURVE('',#746,#748,#336,.T.); +#907=EDGE_CURVE('',#747,#749,#391,.T.); +#908=EDGE_CURVE('',#749,#750,#392,.T.); +#909=EDGE_CURVE('',#748,#750,#393,.T.); +#910=EDGE_CURVE('',#751,#749,#337,.T.); +#911=EDGE_CURVE('',#751,#752,#394,.T.); +#912=EDGE_CURVE('',#750,#752,#338,.T.); +#913=EDGE_CURVE('',#753,#754,#395,.T.); +#914=EDGE_CURVE('',#753,#755,#396,.T.); +#915=EDGE_CURVE('',#755,#756,#397,.T.); +#916=EDGE_CURVE('',#756,#754,#398,.T.); +#917=EDGE_CURVE('',#755,#757,#399,.T.); +#918=EDGE_CURVE('',#758,#757,#400,.T.); +#919=EDGE_CURVE('',#758,#756,#401,.T.); +#920=EDGE_CURVE('',#759,#754,#339,.T.); +#921=EDGE_CURVE('',#760,#759,#402,.T.); +#922=EDGE_CURVE('',#753,#760,#340,.T.); +#923=EDGE_CURVE('',#761,#762,#403,.T.); +#924=EDGE_CURVE('',#763,#761,#341,.T.); +#925=EDGE_CURVE('',#763,#764,#404,.T.); +#926=EDGE_CURVE('',#762,#764,#342,.T.); +#927=EDGE_CURVE('',#765,#763,#405,.T.); +#928=EDGE_CURVE('',#766,#765,#406,.T.); +#929=EDGE_CURVE('',#766,#764,#407,.T.); +#930=EDGE_CURVE('',#767,#768,#408,.T.); +#931=EDGE_CURVE('',#769,#767,#343,.T.); +#932=EDGE_CURVE('',#769,#770,#409,.T.); +#933=EDGE_CURVE('',#768,#770,#344,.T.); +#934=EDGE_CURVE('',#771,#769,#410,.T.); +#935=EDGE_CURVE('',#771,#772,#411,.T.); +#936=EDGE_CURVE('',#770,#772,#412,.T.); +#937=EDGE_CURVE('',#773,#771,#345,.T.); +#938=EDGE_CURVE('',#773,#774,#413,.T.); +#939=EDGE_CURVE('',#772,#774,#346,.T.); +#940=EDGE_CURVE('',#773,#775,#414,.T.); +#941=EDGE_CURVE('',#776,#775,#415,.T.); +#942=EDGE_CURVE('',#776,#774,#416,.T.); +#943=EDGE_CURVE('',#777,#778,#417,.T.); +#944=EDGE_CURVE('',#779,#777,#418,.T.); +#945=EDGE_CURVE('',#779,#780,#419,.T.); +#946=EDGE_CURVE('',#778,#780,#420,.T.); +#947=EDGE_CURVE('',#781,#779,#347,.T.); +#948=EDGE_CURVE('',#781,#782,#421,.T.); +#949=EDGE_CURVE('',#780,#782,#348,.T.); +#950=EDGE_CURVE('',#783,#778,#349,.T.); +#951=EDGE_CURVE('',#784,#783,#422,.T.); +#952=EDGE_CURVE('',#777,#784,#350,.T.); +#953=EDGE_CURVE('',#781,#785,#423,.T.); +#954=EDGE_CURVE('',#786,#785,#424,.T.); +#955=EDGE_CURVE('',#786,#782,#425,.T.); +#956=EDGE_CURVE('',#787,#788,#426,.T.); +#957=EDGE_CURVE('',#787,#789,#427,.T.); +#958=EDGE_CURVE('',#789,#790,#428,.T.); +#959=EDGE_CURVE('',#788,#790,#429,.T.); +#960=EDGE_CURVE('',#791,#788,#351,.T.); +#961=EDGE_CURVE('',#792,#791,#430,.T.); +#962=EDGE_CURVE('',#787,#792,#352,.T.); +#963=EDGE_CURVE('',#789,#793,#431,.T.); +#964=EDGE_CURVE('',#794,#793,#432,.T.); +#965=EDGE_CURVE('',#790,#794,#433,.T.); +#966=EDGE_CURVE('',#795,#796,#434,.T.); +#967=EDGE_CURVE('',#797,#795,#353,.T.); +#968=EDGE_CURVE('',#797,#798,#435,.T.); +#969=EDGE_CURVE('',#796,#798,#354,.T.); +#970=EDGE_CURVE('',#799,#797,#436,.T.); +#971=EDGE_CURVE('',#800,#799,#437,.T.); +#972=EDGE_CURVE('',#800,#798,#438,.T.); +#973=EDGE_CURVE('',#768,#754,#439,.T.); +#974=EDGE_CURVE('',#759,#770,#440,.T.); +#975=EDGE_CURVE('',#801,#768,#441,.T.); +#976=EDGE_CURVE('',#801,#758,#442,.T.); +#977=EDGE_CURVE('',#753,#767,#443,.T.); +#978=EDGE_CURVE('',#767,#802,#444,.T.); +#979=EDGE_CURVE('',#757,#802,#445,.T.); +#980=EDGE_CURVE('',#802,#801,#446,.T.); +#981=EDGE_CURVE('',#769,#760,#447,.T.); +#982=EDGE_CURVE('',#772,#803,#448,.T.); +#983=EDGE_CURVE('',#804,#803,#355,.T.); +#984=EDGE_CURVE('',#804,#761,#449,.T.); +#985=EDGE_CURVE('',#759,#761,#450,.T.); +#986=EDGE_CURVE('',#762,#760,#451,.T.); +#987=EDGE_CURVE('',#762,#805,#452,.T.); +#988=EDGE_CURVE('',#806,#805,#356,.T.); +#989=EDGE_CURVE('',#806,#771,#453,.T.); +#990=EDGE_CURVE('',#807,#806,#60,.T.); +#991=EDGE_CURVE('',#808,#807,#61,.T.); +#992=EDGE_CURVE('',#773,#808,#454,.T.); +#993=EDGE_CURVE('',#809,#774,#455,.T.); +#994=EDGE_CURVE('',#810,#809,#62,.T.); +#995=EDGE_CURVE('',#803,#810,#63,.T.); +#996=EDGE_CURVE('',#803,#811,#456,.T.); +#997=EDGE_CURVE('',#807,#810,#457,.T.); +#998=EDGE_CURVE('',#811,#806,#458,.T.); +#999=EDGE_CURVE('',#805,#812,#459,.T.); +#1000=EDGE_CURVE('',#812,#804,#460,.T.); +#1001=EDGE_CURVE('',#805,#813,#64,.T.); +#1002=EDGE_CURVE('',#814,#813,#461,.T.); +#1003=EDGE_CURVE('',#814,#804,#65,.T.); +#1004=EDGE_CURVE('',#815,#814,#66,.T.); +#1005=EDGE_CURVE('',#763,#815,#462,.T.); +#1006=EDGE_CURVE('',#816,#764,#463,.T.); +#1007=EDGE_CURVE('',#813,#816,#67,.T.); +#1008=EDGE_CURVE('',#815,#817,#464,.T.); +#1009=EDGE_CURVE('',#817,#816,#465,.T.); +#1010=EDGE_CURVE('',#818,#819,#46,.T.); +#1011=EDGE_CURVE('',#819,#808,#357,.T.); +#1012=EDGE_CURVE('',#808,#820,#466,.T.); +#1013=EDGE_CURVE('',#820,#809,#467,.T.); +#1014=EDGE_CURVE('',#809,#815,#358,.T.); +#1015=EDGE_CURVE('',#816,#818,#359,.T.); +#1016=EDGE_CURVE('',#821,#822,#468,.T.); +#1017=EDGE_CURVE('',#823,#822,#469,.T.); +#1018=EDGE_CURVE('',#824,#823,#470,.T.); +#1019=EDGE_CURVE('',#824,#821,#471,.T.); +#1020=EDGE_CURVE('',#776,#765,#472,.T.); +#1021=EDGE_CURVE('',#819,#775,#473,.T.); +#1022=EDGE_CURVE('',#766,#818,#474,.T.); +#1023=EDGE_CURVE('',#800,#785,#475,.T.); +#1024=EDGE_CURVE('',#825,#799,#476,.T.); +#1025=EDGE_CURVE('',#826,#825,#47,.T.); +#1026=EDGE_CURVE('',#786,#826,#477,.T.); +#1027=EDGE_CURVE('',#827,#828,#478,.T.); +#1028=EDGE_CURVE('',#822,#828,#479,.T.); +#1029=EDGE_CURVE('',#827,#821,#480,.T.); +#1030=EDGE_CURVE('',#829,#827,#481,.T.); +#1031=EDGE_CURVE('',#830,#829,#482,.T.); +#1032=EDGE_CURVE('',#828,#830,#483,.T.); +#1033=EDGE_CURVE('',#831,#832,#68,.T.); +#1034=EDGE_CURVE('',#832,#833,#484,.T.); +#1035=EDGE_CURVE('',#833,#834,#69,.T.); +#1036=EDGE_CURVE('',#834,#752,#485,.T.); +#1037=EDGE_CURVE('',#751,#835,#486,.T.); +#1038=EDGE_CURVE('',#836,#835,#487,.T.); +#1039=EDGE_CURVE('',#837,#836,#488,.T.); +#1040=EDGE_CURVE('',#838,#837,#489,.T.); +#1041=EDGE_CURVE('',#838,#839,#490,.T.); +#1042=EDGE_CURVE('',#840,#839,#491,.T.); +#1043=EDGE_CURVE('',#840,#831,#492,.T.); +#1044=EDGE_CURVE('',#830,#823,#493,.T.); +#1045=EDGE_CURVE('',#829,#824,#494,.T.); +#1046=EDGE_CURVE('',#841,#841,#360,.T.); +#1047=EDGE_CURVE('',#841,#842,#495,.T.); +#1048=EDGE_CURVE('',#842,#842,#361,.T.); +#1049=EDGE_CURVE('',#843,#843,#362,.T.); +#1050=EDGE_CURVE('',#843,#844,#496,.T.); +#1051=EDGE_CURVE('',#844,#845,#363,.T.); +#1052=EDGE_CURVE('',#846,#845,#497,.T.); +#1053=EDGE_CURVE('',#846,#847,#364,.T.); +#1054=EDGE_CURVE('',#848,#847,#498,.T.); +#1055=EDGE_CURVE('',#848,#849,#365,.T.); +#1056=EDGE_CURVE('',#850,#849,#499,.T.); +#1057=EDGE_CURVE('',#850,#851,#366,.T.); +#1058=EDGE_CURVE('',#852,#851,#500,.T.); +#1059=EDGE_CURVE('',#852,#844,#367,.T.); +#1060=EDGE_CURVE('',#853,#854,#368,.T.); +#1061=EDGE_CURVE('',#854,#849,#14,.T.); +#1062=EDGE_CURVE('',#848,#853,#15,.T.); +#1063=EDGE_CURVE('',#853,#854,#501,.T.); +#1064=EDGE_CURVE('',#847,#850,#502,.T.); +#1065=EDGE_CURVE('',#851,#846,#503,.T.); +#1066=EDGE_CURVE('',#845,#855,#16,.T.); +#1067=EDGE_CURVE('',#856,#855,#504,.T.); +#1068=EDGE_CURVE('',#856,#852,#70,.T.); +#1069=EDGE_CURVE('',#856,#855,#369,.T.); +#1070=EDGE_CURVE('',#857,#831,#71,.T.); +#1071=EDGE_CURVE('',#858,#857,#505,.T.); +#1072=EDGE_CURVE('',#858,#832,#72,.T.); +#1073=EDGE_CURVE('',#859,#858,#73,.T.); +#1074=EDGE_CURVE('',#860,#859,#506,.T.); +#1075=EDGE_CURVE('',#861,#860,#74,.T.); +#1076=EDGE_CURVE('',#833,#861,#75,.T.); +#1077=EDGE_CURVE('',#861,#862,#507,.T.); +#1078=EDGE_CURVE('',#862,#834,#76,.T.); +#1079=EDGE_CURVE('',#863,#862,#77,.T.); +#1080=EDGE_CURVE('',#750,#863,#508,.T.); +#1081=EDGE_CURVE('',#864,#749,#509,.T.); +#1082=EDGE_CURVE('',#864,#835,#370,.T.); +#1083=EDGE_CURVE('',#864,#865,#510,.T.); +#1084=EDGE_CURVE('',#865,#836,#511,.T.); +#1085=EDGE_CURVE('',#866,#865,#512,.T.); +#1086=EDGE_CURVE('',#747,#737,#513,.T.); +#1087=EDGE_CURVE('',#742,#867,#514,.T.); +#1088=EDGE_CURVE('',#867,#866,#515,.T.); +#1089=EDGE_CURVE('',#837,#866,#516,.T.); +#1090=EDGE_CURVE('',#838,#867,#371,.T.); +#1091=EDGE_CURVE('',#742,#839,#372,.T.); +#1092=EDGE_CURVE('',#840,#741,#373,.T.); +#1093=EDGE_CURVE('',#868,#741,#517,.T.); +#1094=EDGE_CURVE('',#857,#868,#78,.T.); +#1095=EDGE_CURVE('',#869,#868,#518,.T.); +#1096=EDGE_CURVE('',#870,#869,#519,.T.); +#1097=EDGE_CURVE('',#870,#859,#79,.T.); +#1098=EDGE_CURVE('',#871,#870,#374,.T.); +#1099=EDGE_CURVE('',#860,#871,#80,.T.); +#1100=EDGE_CURVE('',#868,#863,#375,.T.); +#1101=EDGE_CURVE('',#863,#872,#520,.T.); +#1102=EDGE_CURVE('',#872,#871,#521,.T.); +#1103=EDGE_CURVE('',#738,#748,#522,.T.); +#1104=EDGE_CURVE('',#746,#740,#523,.T.); +#1105=EDGE_CURVE('',#873,#746,#524,.T.); +#1106=EDGE_CURVE('',#873,#744,#525,.T.); +#1107=EDGE_CURVE('',#739,#745,#526,.T.); +#1108=EDGE_CURVE('',#745,#874,#527,.T.); +#1109=EDGE_CURVE('',#743,#874,#528,.T.); +#1110=EDGE_CURVE('',#874,#873,#529,.T.); +#1111=EDGE_CURVE('',#781,#875,#530,.T.); +#1112=EDGE_CURVE('',#876,#875,#376,.T.); +#1113=EDGE_CURVE('',#876,#798,#531,.T.); +#1114=EDGE_CURVE('',#797,#877,#532,.T.); +#1115=EDGE_CURVE('',#825,#877,#377,.T.); +#1116=EDGE_CURVE('',#877,#878,#533,.T.); +#1117=EDGE_CURVE('',#878,#876,#534,.T.); +#1118=EDGE_CURVE('',#875,#879,#535,.T.); +#1119=EDGE_CURVE('',#879,#880,#536,.T.); +#1120=EDGE_CURVE('',#880,#826,#378,.T.); +#1121=EDGE_CURVE('',#880,#782,#537,.T.); +#1122=EDGE_CURVE('',#881,#783,#538,.T.); +#1123=EDGE_CURVE('',#882,#881,#539,.T.); +#1124=EDGE_CURVE('',#784,#882,#540,.T.); +#1125=EDGE_CURVE('',#787,#784,#541,.T.); +#1126=EDGE_CURVE('',#793,#882,#542,.T.); +#1127=EDGE_CURVE('',#783,#788,#543,.T.); +#1128=EDGE_CURVE('',#881,#794,#544,.T.); +#1129=EDGE_CURVE('',#777,#792,#545,.T.); +#1130=EDGE_CURVE('',#796,#792,#546,.T.); +#1131=EDGE_CURVE('',#796,#883,#547,.T.); +#1132=EDGE_CURVE('',#884,#883,#379,.T.); +#1133=EDGE_CURVE('',#884,#779,#548,.T.); +#1134=EDGE_CURVE('',#885,#884,#81,.T.); +#1135=EDGE_CURVE('',#875,#885,#82,.T.); +#1136=EDGE_CURVE('',#886,#885,#549,.T.); +#1137=EDGE_CURVE('',#886,#880,#83,.T.); +#1138=EDGE_CURVE('',#780,#887,#550,.T.); +#1139=EDGE_CURVE('',#886,#887,#84,.T.); +#1140=EDGE_CURVE('',#791,#778,#551,.T.); +#1141=EDGE_CURVE('',#888,#887,#380,.T.); +#1142=EDGE_CURVE('',#888,#795,#552,.T.); +#1143=EDGE_CURVE('',#791,#795,#553,.T.); +#1144=EDGE_CURVE('',#889,#876,#85,.T.); +#1145=EDGE_CURVE('',#883,#889,#86,.T.); +#1146=EDGE_CURVE('',#883,#890,#554,.T.); +#1147=EDGE_CURVE('',#889,#891,#555,.T.); +#1148=EDGE_CURVE('',#888,#891,#87,.T.); +#1149=EDGE_CURVE('',#890,#888,#556,.T.); +#1150=EDGE_CURVE('',#887,#892,#557,.T.); +#1151=EDGE_CURVE('',#892,#884,#558,.T.); +#1152=EDGE_CURVE('',#877,#891,#88,.T.); +#1153=ORIENTED_EDGE('',*,*,#893,.F.); +#1154=ORIENTED_EDGE('',*,*,#894,.F.); +#1155=ORIENTED_EDGE('',*,*,#895,.T.); +#1156=ORIENTED_EDGE('',*,*,#896,.F.); +#1157=ORIENTED_EDGE('',*,*,#893,.T.); +#1158=ORIENTED_EDGE('',*,*,#897,.F.); +#1159=ORIENTED_EDGE('',*,*,#898,.F.); +#1160=ORIENTED_EDGE('',*,*,#899,.T.); +#1161=ORIENTED_EDGE('',*,*,#895,.F.); +#1162=ORIENTED_EDGE('',*,*,#900,.T.); +#1163=ORIENTED_EDGE('',*,*,#901,.F.); +#1164=ORIENTED_EDGE('',*,*,#902,.F.); +#1165=ORIENTED_EDGE('',*,*,#903,.F.); +#1166=ORIENTED_EDGE('',*,*,#904,.F.); +#1167=ORIENTED_EDGE('',*,*,#905,.T.); +#1168=ORIENTED_EDGE('',*,*,#906,.F.); +#1169=ORIENTED_EDGE('',*,*,#905,.F.); +#1170=ORIENTED_EDGE('',*,*,#907,.T.); +#1171=ORIENTED_EDGE('',*,*,#908,.T.); +#1172=ORIENTED_EDGE('',*,*,#909,.F.); +#1173=ORIENTED_EDGE('',*,*,#908,.F.); +#1174=ORIENTED_EDGE('',*,*,#910,.F.); +#1175=ORIENTED_EDGE('',*,*,#911,.T.); +#1176=ORIENTED_EDGE('',*,*,#912,.F.); +#1177=ORIENTED_EDGE('',*,*,#913,.F.); +#1178=ORIENTED_EDGE('',*,*,#914,.T.); +#1179=ORIENTED_EDGE('',*,*,#915,.T.); +#1180=ORIENTED_EDGE('',*,*,#916,.T.); +#1181=ORIENTED_EDGE('',*,*,#915,.F.); +#1182=ORIENTED_EDGE('',*,*,#917,.T.); +#1183=ORIENTED_EDGE('',*,*,#918,.F.); +#1184=ORIENTED_EDGE('',*,*,#919,.T.); +#1185=ORIENTED_EDGE('',*,*,#913,.T.); +#1186=ORIENTED_EDGE('',*,*,#920,.F.); +#1187=ORIENTED_EDGE('',*,*,#921,.F.); +#1188=ORIENTED_EDGE('',*,*,#922,.F.); +#1189=ORIENTED_EDGE('',*,*,#923,.F.); +#1190=ORIENTED_EDGE('',*,*,#924,.F.); +#1191=ORIENTED_EDGE('',*,*,#925,.T.); +#1192=ORIENTED_EDGE('',*,*,#926,.F.); +#1193=ORIENTED_EDGE('',*,*,#925,.F.); +#1194=ORIENTED_EDGE('',*,*,#927,.F.); +#1195=ORIENTED_EDGE('',*,*,#928,.F.); +#1196=ORIENTED_EDGE('',*,*,#929,.T.); +#1197=ORIENTED_EDGE('',*,*,#930,.F.); +#1198=ORIENTED_EDGE('',*,*,#931,.F.); +#1199=ORIENTED_EDGE('',*,*,#932,.T.); +#1200=ORIENTED_EDGE('',*,*,#933,.F.); +#1201=ORIENTED_EDGE('',*,*,#932,.F.); +#1202=ORIENTED_EDGE('',*,*,#934,.F.); +#1203=ORIENTED_EDGE('',*,*,#935,.T.); +#1204=ORIENTED_EDGE('',*,*,#936,.F.); +#1205=ORIENTED_EDGE('',*,*,#935,.F.); +#1206=ORIENTED_EDGE('',*,*,#937,.F.); +#1207=ORIENTED_EDGE('',*,*,#938,.T.); +#1208=ORIENTED_EDGE('',*,*,#939,.F.); +#1209=ORIENTED_EDGE('',*,*,#938,.F.); +#1210=ORIENTED_EDGE('',*,*,#940,.T.); +#1211=ORIENTED_EDGE('',*,*,#941,.F.); +#1212=ORIENTED_EDGE('',*,*,#942,.T.); +#1213=ORIENTED_EDGE('',*,*,#943,.F.); +#1214=ORIENTED_EDGE('',*,*,#944,.F.); +#1215=ORIENTED_EDGE('',*,*,#945,.T.); +#1216=ORIENTED_EDGE('',*,*,#946,.F.); +#1217=ORIENTED_EDGE('',*,*,#945,.F.); +#1218=ORIENTED_EDGE('',*,*,#947,.F.); +#1219=ORIENTED_EDGE('',*,*,#948,.T.); +#1220=ORIENTED_EDGE('',*,*,#949,.F.); +#1221=ORIENTED_EDGE('',*,*,#943,.T.); +#1222=ORIENTED_EDGE('',*,*,#950,.F.); +#1223=ORIENTED_EDGE('',*,*,#951,.F.); +#1224=ORIENTED_EDGE('',*,*,#952,.F.); +#1225=ORIENTED_EDGE('',*,*,#948,.F.); +#1226=ORIENTED_EDGE('',*,*,#953,.T.); +#1227=ORIENTED_EDGE('',*,*,#954,.F.); +#1228=ORIENTED_EDGE('',*,*,#955,.T.); +#1229=ORIENTED_EDGE('',*,*,#956,.F.); +#1230=ORIENTED_EDGE('',*,*,#957,.T.); +#1231=ORIENTED_EDGE('',*,*,#958,.T.); +#1232=ORIENTED_EDGE('',*,*,#959,.F.); +#1233=ORIENTED_EDGE('',*,*,#956,.T.); +#1234=ORIENTED_EDGE('',*,*,#960,.F.); +#1235=ORIENTED_EDGE('',*,*,#961,.F.); +#1236=ORIENTED_EDGE('',*,*,#962,.F.); +#1237=ORIENTED_EDGE('',*,*,#958,.F.); +#1238=ORIENTED_EDGE('',*,*,#963,.T.); +#1239=ORIENTED_EDGE('',*,*,#964,.F.); +#1240=ORIENTED_EDGE('',*,*,#965,.F.); +#1241=ORIENTED_EDGE('',*,*,#966,.F.); +#1242=ORIENTED_EDGE('',*,*,#967,.F.); +#1243=ORIENTED_EDGE('',*,*,#968,.T.); +#1244=ORIENTED_EDGE('',*,*,#969,.F.); +#1245=ORIENTED_EDGE('',*,*,#968,.F.); +#1246=ORIENTED_EDGE('',*,*,#970,.F.); +#1247=ORIENTED_EDGE('',*,*,#971,.F.); +#1248=ORIENTED_EDGE('',*,*,#972,.T.); +#1249=ORIENTED_EDGE('',*,*,#973,.F.); +#1250=ORIENTED_EDGE('',*,*,#933,.T.); +#1251=ORIENTED_EDGE('',*,*,#974,.F.); +#1252=ORIENTED_EDGE('',*,*,#920,.T.); +#1253=ORIENTED_EDGE('',*,*,#975,.T.); +#1254=ORIENTED_EDGE('',*,*,#973,.T.); +#1255=ORIENTED_EDGE('',*,*,#916,.F.); +#1256=ORIENTED_EDGE('',*,*,#919,.F.); +#1257=ORIENTED_EDGE('',*,*,#976,.F.); +#1258=ORIENTED_EDGE('',*,*,#914,.F.); +#1259=ORIENTED_EDGE('',*,*,#977,.T.); +#1260=ORIENTED_EDGE('',*,*,#978,.T.); +#1261=ORIENTED_EDGE('',*,*,#979,.F.); +#1262=ORIENTED_EDGE('',*,*,#917,.F.); +#1263=ORIENTED_EDGE('',*,*,#979,.T.); +#1264=ORIENTED_EDGE('',*,*,#980,.T.); +#1265=ORIENTED_EDGE('',*,*,#976,.T.); +#1266=ORIENTED_EDGE('',*,*,#918,.T.); +#1267=ORIENTED_EDGE('',*,*,#977,.F.); +#1268=ORIENTED_EDGE('',*,*,#922,.T.); +#1269=ORIENTED_EDGE('',*,*,#981,.F.); +#1270=ORIENTED_EDGE('',*,*,#931,.T.); +#1271=ORIENTED_EDGE('',*,*,#974,.T.); +#1272=ORIENTED_EDGE('',*,*,#936,.T.); +#1273=ORIENTED_EDGE('',*,*,#982,.T.); +#1274=ORIENTED_EDGE('',*,*,#983,.F.); +#1275=ORIENTED_EDGE('',*,*,#984,.T.); +#1276=ORIENTED_EDGE('',*,*,#985,.F.); +#1277=ORIENTED_EDGE('',*,*,#981,.T.); +#1278=ORIENTED_EDGE('',*,*,#986,.F.); +#1279=ORIENTED_EDGE('',*,*,#987,.T.); +#1280=ORIENTED_EDGE('',*,*,#988,.F.); +#1281=ORIENTED_EDGE('',*,*,#989,.T.); +#1282=ORIENTED_EDGE('',*,*,#934,.T.); +#1283=ORIENTED_EDGE('',*,*,#937,.T.); +#1284=ORIENTED_EDGE('',*,*,#989,.F.); +#1285=ORIENTED_EDGE('',*,*,#990,.F.); +#1286=ORIENTED_EDGE('',*,*,#991,.F.); +#1287=ORIENTED_EDGE('',*,*,#992,.F.); +#1288=ORIENTED_EDGE('',*,*,#939,.T.); +#1289=ORIENTED_EDGE('',*,*,#993,.F.); +#1290=ORIENTED_EDGE('',*,*,#994,.F.); +#1291=ORIENTED_EDGE('',*,*,#995,.F.); +#1292=ORIENTED_EDGE('',*,*,#982,.F.); +#1293=ORIENTED_EDGE('',*,*,#996,.F.); +#1294=ORIENTED_EDGE('',*,*,#995,.T.); +#1295=ORIENTED_EDGE('',*,*,#997,.F.); +#1296=ORIENTED_EDGE('',*,*,#990,.T.); +#1297=ORIENTED_EDGE('',*,*,#998,.F.); +#1298=ORIENTED_EDGE('',*,*,#996,.T.); +#1299=ORIENTED_EDGE('',*,*,#998,.T.); +#1300=ORIENTED_EDGE('',*,*,#988,.T.); +#1301=ORIENTED_EDGE('',*,*,#999,.T.); +#1302=ORIENTED_EDGE('',*,*,#1000,.T.); +#1303=ORIENTED_EDGE('',*,*,#983,.T.); +#1304=ORIENTED_EDGE('',*,*,#999,.F.); +#1305=ORIENTED_EDGE('',*,*,#1001,.T.); +#1306=ORIENTED_EDGE('',*,*,#1002,.F.); +#1307=ORIENTED_EDGE('',*,*,#1003,.T.); +#1308=ORIENTED_EDGE('',*,*,#1000,.F.); +#1309=ORIENTED_EDGE('',*,*,#984,.F.); +#1310=ORIENTED_EDGE('',*,*,#1003,.F.); +#1311=ORIENTED_EDGE('',*,*,#1004,.F.); +#1312=ORIENTED_EDGE('',*,*,#1005,.F.); +#1313=ORIENTED_EDGE('',*,*,#924,.T.); +#1314=ORIENTED_EDGE('',*,*,#987,.F.); +#1315=ORIENTED_EDGE('',*,*,#926,.T.); +#1316=ORIENTED_EDGE('',*,*,#1006,.F.); +#1317=ORIENTED_EDGE('',*,*,#1007,.F.); +#1318=ORIENTED_EDGE('',*,*,#1001,.F.); +#1319=ORIENTED_EDGE('',*,*,#1008,.F.); +#1320=ORIENTED_EDGE('',*,*,#1004,.T.); +#1321=ORIENTED_EDGE('',*,*,#1002,.T.); +#1322=ORIENTED_EDGE('',*,*,#1007,.T.); +#1323=ORIENTED_EDGE('',*,*,#1009,.F.); +#1324=ORIENTED_EDGE('',*,*,#1010,.T.); +#1325=ORIENTED_EDGE('',*,*,#1011,.T.); +#1326=ORIENTED_EDGE('',*,*,#1012,.T.); +#1327=ORIENTED_EDGE('',*,*,#1013,.T.); +#1328=ORIENTED_EDGE('',*,*,#1014,.T.); +#1329=ORIENTED_EDGE('',*,*,#1008,.T.); +#1330=ORIENTED_EDGE('',*,*,#1009,.T.); +#1331=ORIENTED_EDGE('',*,*,#1015,.T.); +#1332=ORIENTED_EDGE('',*,*,#1016,.T.); +#1333=ORIENTED_EDGE('',*,*,#1017,.F.); +#1334=ORIENTED_EDGE('',*,*,#1018,.F.); +#1335=ORIENTED_EDGE('',*,*,#1019,.T.); +#1336=ORIENTED_EDGE('',*,*,#1020,.F.); +#1337=ORIENTED_EDGE('',*,*,#941,.T.); +#1338=ORIENTED_EDGE('',*,*,#1021,.F.); +#1339=ORIENTED_EDGE('',*,*,#1010,.F.); +#1340=ORIENTED_EDGE('',*,*,#1022,.F.); +#1341=ORIENTED_EDGE('',*,*,#928,.T.); +#1342=ORIENTED_EDGE('',*,*,#1023,.F.); +#1343=ORIENTED_EDGE('',*,*,#971,.T.); +#1344=ORIENTED_EDGE('',*,*,#1024,.F.); +#1345=ORIENTED_EDGE('',*,*,#1025,.F.); +#1346=ORIENTED_EDGE('',*,*,#1026,.F.); +#1347=ORIENTED_EDGE('',*,*,#954,.T.); +#1348=ORIENTED_EDGE('',*,*,#1027,.T.); +#1349=ORIENTED_EDGE('',*,*,#1028,.F.); +#1350=ORIENTED_EDGE('',*,*,#1016,.F.); +#1351=ORIENTED_EDGE('',*,*,#1029,.F.); +#1352=ORIENTED_EDGE('',*,*,#1027,.F.); +#1353=ORIENTED_EDGE('',*,*,#1030,.F.); +#1354=ORIENTED_EDGE('',*,*,#1031,.F.); +#1355=ORIENTED_EDGE('',*,*,#1032,.F.); +#1356=ORIENTED_EDGE('',*,*,#1033,.T.); +#1357=ORIENTED_EDGE('',*,*,#1034,.T.); +#1358=ORIENTED_EDGE('',*,*,#1035,.T.); +#1359=ORIENTED_EDGE('',*,*,#1036,.T.); +#1360=ORIENTED_EDGE('',*,*,#911,.F.); +#1361=ORIENTED_EDGE('',*,*,#1037,.T.); +#1362=ORIENTED_EDGE('',*,*,#1038,.F.); +#1363=ORIENTED_EDGE('',*,*,#1039,.F.); +#1364=ORIENTED_EDGE('',*,*,#1040,.F.); +#1365=ORIENTED_EDGE('',*,*,#1041,.T.); +#1366=ORIENTED_EDGE('',*,*,#1042,.F.); +#1367=ORIENTED_EDGE('',*,*,#1043,.T.); +#1368=ORIENTED_EDGE('',*,*,#1032,.T.); +#1369=ORIENTED_EDGE('',*,*,#1044,.T.); +#1370=ORIENTED_EDGE('',*,*,#1017,.T.); +#1371=ORIENTED_EDGE('',*,*,#1028,.T.); +#1372=ORIENTED_EDGE('',*,*,#1018,.T.); +#1373=ORIENTED_EDGE('',*,*,#1044,.F.); +#1374=ORIENTED_EDGE('',*,*,#1031,.T.); +#1375=ORIENTED_EDGE('',*,*,#1045,.T.); +#1376=ORIENTED_EDGE('',*,*,#1046,.F.); +#1377=ORIENTED_EDGE('',*,*,#1019,.F.); +#1378=ORIENTED_EDGE('',*,*,#1045,.F.); +#1379=ORIENTED_EDGE('',*,*,#1030,.T.); +#1380=ORIENTED_EDGE('',*,*,#1029,.T.); +#1381=ORIENTED_EDGE('',*,*,#1046,.T.); +#1382=ORIENTED_EDGE('',*,*,#1047,.T.); +#1383=ORIENTED_EDGE('',*,*,#1048,.T.); +#1384=ORIENTED_EDGE('',*,*,#1047,.F.); +#1385=ORIENTED_EDGE('',*,*,#1048,.F.); +#1386=ORIENTED_EDGE('',*,*,#1049,.F.); +#1387=ORIENTED_EDGE('',*,*,#1049,.T.); +#1388=ORIENTED_EDGE('',*,*,#1050,.T.); +#1389=ORIENTED_EDGE('',*,*,#1051,.T.); +#1390=ORIENTED_EDGE('',*,*,#1052,.F.); +#1391=ORIENTED_EDGE('',*,*,#1053,.T.); +#1392=ORIENTED_EDGE('',*,*,#1054,.F.); +#1393=ORIENTED_EDGE('',*,*,#1055,.T.); +#1394=ORIENTED_EDGE('',*,*,#1056,.F.); +#1395=ORIENTED_EDGE('',*,*,#1057,.T.); +#1396=ORIENTED_EDGE('',*,*,#1058,.F.); +#1397=ORIENTED_EDGE('',*,*,#1059,.T.); +#1398=ORIENTED_EDGE('',*,*,#1050,.F.); +#1399=ORIENTED_EDGE('',*,*,#1060,.T.); +#1400=ORIENTED_EDGE('',*,*,#1061,.T.); +#1401=ORIENTED_EDGE('',*,*,#1055,.F.); +#1402=ORIENTED_EDGE('',*,*,#1062,.T.); +#1403=ORIENTED_EDGE('',*,*,#1063,.T.); +#1404=ORIENTED_EDGE('',*,*,#1060,.F.); +#1405=ORIENTED_EDGE('',*,*,#1056,.T.); +#1406=ORIENTED_EDGE('',*,*,#1061,.F.); +#1407=ORIENTED_EDGE('',*,*,#1063,.F.); +#1408=ORIENTED_EDGE('',*,*,#1062,.F.); +#1409=ORIENTED_EDGE('',*,*,#1054,.T.); +#1410=ORIENTED_EDGE('',*,*,#1064,.T.); +#1411=ORIENTED_EDGE('',*,*,#1057,.F.); +#1412=ORIENTED_EDGE('',*,*,#1064,.F.); +#1413=ORIENTED_EDGE('',*,*,#1053,.F.); +#1414=ORIENTED_EDGE('',*,*,#1065,.F.); +#1415=ORIENTED_EDGE('',*,*,#1058,.T.); +#1416=ORIENTED_EDGE('',*,*,#1065,.T.); +#1417=ORIENTED_EDGE('',*,*,#1052,.T.); +#1418=ORIENTED_EDGE('',*,*,#1066,.T.); +#1419=ORIENTED_EDGE('',*,*,#1067,.F.); +#1420=ORIENTED_EDGE('',*,*,#1068,.T.); +#1421=ORIENTED_EDGE('',*,*,#1059,.F.); +#1422=ORIENTED_EDGE('',*,*,#1068,.F.); +#1423=ORIENTED_EDGE('',*,*,#1069,.T.); +#1424=ORIENTED_EDGE('',*,*,#1066,.F.); +#1425=ORIENTED_EDGE('',*,*,#1051,.F.); +#1426=ORIENTED_EDGE('',*,*,#1067,.T.); +#1427=ORIENTED_EDGE('',*,*,#1069,.F.); +#1428=ORIENTED_EDGE('',*,*,#1033,.F.); +#1429=ORIENTED_EDGE('',*,*,#1070,.F.); +#1430=ORIENTED_EDGE('',*,*,#1071,.F.); +#1431=ORIENTED_EDGE('',*,*,#1072,.T.); +#1432=ORIENTED_EDGE('',*,*,#1072,.F.); +#1433=ORIENTED_EDGE('',*,*,#1073,.F.); +#1434=ORIENTED_EDGE('',*,*,#1074,.F.); +#1435=ORIENTED_EDGE('',*,*,#1075,.F.); +#1436=ORIENTED_EDGE('',*,*,#1076,.F.); +#1437=ORIENTED_EDGE('',*,*,#1034,.F.); +#1438=ORIENTED_EDGE('',*,*,#1035,.F.); +#1439=ORIENTED_EDGE('',*,*,#1076,.T.); +#1440=ORIENTED_EDGE('',*,*,#1077,.T.); +#1441=ORIENTED_EDGE('',*,*,#1078,.T.); +#1442=ORIENTED_EDGE('',*,*,#1036,.F.); +#1443=ORIENTED_EDGE('',*,*,#1078,.F.); +#1444=ORIENTED_EDGE('',*,*,#1079,.F.); +#1445=ORIENTED_EDGE('',*,*,#1080,.F.); +#1446=ORIENTED_EDGE('',*,*,#912,.T.); +#1447=ORIENTED_EDGE('',*,*,#1037,.F.); +#1448=ORIENTED_EDGE('',*,*,#910,.T.); +#1449=ORIENTED_EDGE('',*,*,#1081,.F.); +#1450=ORIENTED_EDGE('',*,*,#1082,.T.); +#1451=ORIENTED_EDGE('',*,*,#1083,.T.); +#1452=ORIENTED_EDGE('',*,*,#1084,.T.); +#1453=ORIENTED_EDGE('',*,*,#1038,.T.); +#1454=ORIENTED_EDGE('',*,*,#1082,.F.); +#1455=ORIENTED_EDGE('',*,*,#1085,.T.); +#1456=ORIENTED_EDGE('',*,*,#1083,.F.); +#1457=ORIENTED_EDGE('',*,*,#1081,.T.); +#1458=ORIENTED_EDGE('',*,*,#907,.F.); +#1459=ORIENTED_EDGE('',*,*,#1086,.T.); +#1460=ORIENTED_EDGE('',*,*,#899,.F.); +#1461=ORIENTED_EDGE('',*,*,#1087,.T.); +#1462=ORIENTED_EDGE('',*,*,#1088,.T.); +#1463=ORIENTED_EDGE('',*,*,#1084,.F.); +#1464=ORIENTED_EDGE('',*,*,#1085,.F.); +#1465=ORIENTED_EDGE('',*,*,#1089,.F.); +#1466=ORIENTED_EDGE('',*,*,#1039,.T.); +#1467=ORIENTED_EDGE('',*,*,#1088,.F.); +#1468=ORIENTED_EDGE('',*,*,#1090,.F.); +#1469=ORIENTED_EDGE('',*,*,#1040,.T.); +#1470=ORIENTED_EDGE('',*,*,#1089,.T.); +#1471=ORIENTED_EDGE('',*,*,#1041,.F.); +#1472=ORIENTED_EDGE('',*,*,#1090,.T.); +#1473=ORIENTED_EDGE('',*,*,#1087,.F.); +#1474=ORIENTED_EDGE('',*,*,#1091,.T.); +#1475=ORIENTED_EDGE('',*,*,#1043,.F.); +#1476=ORIENTED_EDGE('',*,*,#1092,.T.); +#1477=ORIENTED_EDGE('',*,*,#1093,.F.); +#1478=ORIENTED_EDGE('',*,*,#1094,.F.); +#1479=ORIENTED_EDGE('',*,*,#1070,.T.); +#1480=ORIENTED_EDGE('',*,*,#1073,.T.); +#1481=ORIENTED_EDGE('',*,*,#1071,.T.); +#1482=ORIENTED_EDGE('',*,*,#1094,.T.); +#1483=ORIENTED_EDGE('',*,*,#1095,.F.); +#1484=ORIENTED_EDGE('',*,*,#1096,.F.); +#1485=ORIENTED_EDGE('',*,*,#1097,.T.); +#1486=ORIENTED_EDGE('',*,*,#1098,.F.); +#1487=ORIENTED_EDGE('',*,*,#1099,.F.); +#1488=ORIENTED_EDGE('',*,*,#1074,.T.); +#1489=ORIENTED_EDGE('',*,*,#1097,.F.); +#1490=ORIENTED_EDGE('',*,*,#1098,.T.); +#1491=ORIENTED_EDGE('',*,*,#1096,.T.); +#1492=ORIENTED_EDGE('',*,*,#1095,.T.); +#1493=ORIENTED_EDGE('',*,*,#1100,.T.); +#1494=ORIENTED_EDGE('',*,*,#1101,.T.); +#1495=ORIENTED_EDGE('',*,*,#1102,.T.); +#1496=ORIENTED_EDGE('',*,*,#1075,.T.); +#1497=ORIENTED_EDGE('',*,*,#1099,.T.); +#1498=ORIENTED_EDGE('',*,*,#1102,.F.); +#1499=ORIENTED_EDGE('',*,*,#1101,.F.); +#1500=ORIENTED_EDGE('',*,*,#1079,.T.); +#1501=ORIENTED_EDGE('',*,*,#1077,.F.); +#1502=ORIENTED_EDGE('',*,*,#1103,.T.); +#1503=ORIENTED_EDGE('',*,*,#909,.T.); +#1504=ORIENTED_EDGE('',*,*,#1080,.T.); +#1505=ORIENTED_EDGE('',*,*,#1100,.F.); +#1506=ORIENTED_EDGE('',*,*,#1093,.T.); +#1507=ORIENTED_EDGE('',*,*,#897,.T.); +#1508=ORIENTED_EDGE('',*,*,#1104,.F.); +#1509=ORIENTED_EDGE('',*,*,#906,.T.); +#1510=ORIENTED_EDGE('',*,*,#1103,.F.); +#1511=ORIENTED_EDGE('',*,*,#896,.T.); +#1512=ORIENTED_EDGE('',*,*,#1105,.T.); +#1513=ORIENTED_EDGE('',*,*,#1104,.T.); +#1514=ORIENTED_EDGE('',*,*,#902,.T.); +#1515=ORIENTED_EDGE('',*,*,#1106,.F.); +#1516=ORIENTED_EDGE('',*,*,#900,.F.); +#1517=ORIENTED_EDGE('',*,*,#1107,.T.); +#1518=ORIENTED_EDGE('',*,*,#1108,.T.); +#1519=ORIENTED_EDGE('',*,*,#1109,.F.); +#1520=ORIENTED_EDGE('',*,*,#1109,.T.); +#1521=ORIENTED_EDGE('',*,*,#1110,.T.); +#1522=ORIENTED_EDGE('',*,*,#1106,.T.); +#1523=ORIENTED_EDGE('',*,*,#901,.T.); +#1524=ORIENTED_EDGE('',*,*,#1107,.F.); +#1525=ORIENTED_EDGE('',*,*,#894,.T.); +#1526=ORIENTED_EDGE('',*,*,#1086,.F.); +#1527=ORIENTED_EDGE('',*,*,#904,.T.); +#1528=ORIENTED_EDGE('',*,*,#903,.T.); +#1529=ORIENTED_EDGE('',*,*,#1105,.F.); +#1530=ORIENTED_EDGE('',*,*,#1110,.F.); +#1531=ORIENTED_EDGE('',*,*,#1108,.F.); +#1532=ORIENTED_EDGE('',*,*,#898,.T.); +#1533=ORIENTED_EDGE('',*,*,#1092,.F.); +#1534=ORIENTED_EDGE('',*,*,#1042,.T.); +#1535=ORIENTED_EDGE('',*,*,#1091,.F.); +#1536=ORIENTED_EDGE('',*,*,#1020,.T.); +#1537=ORIENTED_EDGE('',*,*,#927,.T.); +#1538=ORIENTED_EDGE('',*,*,#1005,.T.); +#1539=ORIENTED_EDGE('',*,*,#1014,.F.); +#1540=ORIENTED_EDGE('',*,*,#993,.T.); +#1541=ORIENTED_EDGE('',*,*,#942,.F.); +#1542=ORIENTED_EDGE('',*,*,#930,.T.); +#1543=ORIENTED_EDGE('',*,*,#975,.F.); +#1544=ORIENTED_EDGE('',*,*,#980,.F.); +#1545=ORIENTED_EDGE('',*,*,#978,.F.); +#1546=ORIENTED_EDGE('',*,*,#1021,.T.); +#1547=ORIENTED_EDGE('',*,*,#940,.F.); +#1548=ORIENTED_EDGE('',*,*,#992,.T.); +#1549=ORIENTED_EDGE('',*,*,#1011,.F.); +#1550=ORIENTED_EDGE('',*,*,#921,.T.); +#1551=ORIENTED_EDGE('',*,*,#985,.T.); +#1552=ORIENTED_EDGE('',*,*,#923,.T.); +#1553=ORIENTED_EDGE('',*,*,#986,.T.); +#1554=ORIENTED_EDGE('',*,*,#1022,.T.); +#1555=ORIENTED_EDGE('',*,*,#1015,.F.); +#1556=ORIENTED_EDGE('',*,*,#1006,.T.); +#1557=ORIENTED_EDGE('',*,*,#929,.F.); +#1558=ORIENTED_EDGE('',*,*,#1023,.T.); +#1559=ORIENTED_EDGE('',*,*,#953,.F.); +#1560=ORIENTED_EDGE('',*,*,#1111,.T.); +#1561=ORIENTED_EDGE('',*,*,#1112,.F.); +#1562=ORIENTED_EDGE('',*,*,#1113,.T.); +#1563=ORIENTED_EDGE('',*,*,#972,.F.); +#1564=ORIENTED_EDGE('',*,*,#1024,.T.); +#1565=ORIENTED_EDGE('',*,*,#970,.T.); +#1566=ORIENTED_EDGE('',*,*,#1114,.T.); +#1567=ORIENTED_EDGE('',*,*,#1115,.F.); +#1568=ORIENTED_EDGE('',*,*,#1025,.T.); +#1569=ORIENTED_EDGE('',*,*,#1115,.T.); +#1570=ORIENTED_EDGE('',*,*,#1116,.T.); +#1571=ORIENTED_EDGE('',*,*,#1117,.T.); +#1572=ORIENTED_EDGE('',*,*,#1112,.T.); +#1573=ORIENTED_EDGE('',*,*,#1118,.T.); +#1574=ORIENTED_EDGE('',*,*,#1119,.T.); +#1575=ORIENTED_EDGE('',*,*,#1120,.T.); +#1576=ORIENTED_EDGE('',*,*,#1026,.T.); +#1577=ORIENTED_EDGE('',*,*,#1120,.F.); +#1578=ORIENTED_EDGE('',*,*,#1121,.T.); +#1579=ORIENTED_EDGE('',*,*,#955,.F.); +#1580=ORIENTED_EDGE('',*,*,#951,.T.); +#1581=ORIENTED_EDGE('',*,*,#1122,.F.); +#1582=ORIENTED_EDGE('',*,*,#1123,.F.); +#1583=ORIENTED_EDGE('',*,*,#1124,.F.); +#1584=ORIENTED_EDGE('',*,*,#957,.F.); +#1585=ORIENTED_EDGE('',*,*,#1125,.T.); +#1586=ORIENTED_EDGE('',*,*,#1124,.T.); +#1587=ORIENTED_EDGE('',*,*,#1126,.F.); +#1588=ORIENTED_EDGE('',*,*,#963,.F.); +#1589=ORIENTED_EDGE('',*,*,#1122,.T.); +#1590=ORIENTED_EDGE('',*,*,#1127,.T.); +#1591=ORIENTED_EDGE('',*,*,#959,.T.); +#1592=ORIENTED_EDGE('',*,*,#965,.T.); +#1593=ORIENTED_EDGE('',*,*,#1128,.F.); +#1594=ORIENTED_EDGE('',*,*,#1128,.T.); +#1595=ORIENTED_EDGE('',*,*,#964,.T.); +#1596=ORIENTED_EDGE('',*,*,#1126,.T.); +#1597=ORIENTED_EDGE('',*,*,#1123,.T.); +#1598=ORIENTED_EDGE('',*,*,#1125,.F.); +#1599=ORIENTED_EDGE('',*,*,#962,.T.); +#1600=ORIENTED_EDGE('',*,*,#1129,.F.); +#1601=ORIENTED_EDGE('',*,*,#952,.T.); +#1602=ORIENTED_EDGE('',*,*,#1129,.T.); +#1603=ORIENTED_EDGE('',*,*,#1130,.F.); +#1604=ORIENTED_EDGE('',*,*,#1131,.T.); +#1605=ORIENTED_EDGE('',*,*,#1132,.F.); +#1606=ORIENTED_EDGE('',*,*,#1133,.T.); +#1607=ORIENTED_EDGE('',*,*,#944,.T.); +#1608=ORIENTED_EDGE('',*,*,#1133,.F.); +#1609=ORIENTED_EDGE('',*,*,#1134,.F.); +#1610=ORIENTED_EDGE('',*,*,#1135,.F.); +#1611=ORIENTED_EDGE('',*,*,#1111,.F.); +#1612=ORIENTED_EDGE('',*,*,#947,.T.); +#1613=ORIENTED_EDGE('',*,*,#1118,.F.); +#1614=ORIENTED_EDGE('',*,*,#1135,.T.); +#1615=ORIENTED_EDGE('',*,*,#1136,.F.); +#1616=ORIENTED_EDGE('',*,*,#1137,.T.); +#1617=ORIENTED_EDGE('',*,*,#1119,.F.); +#1618=ORIENTED_EDGE('',*,*,#1138,.F.); +#1619=ORIENTED_EDGE('',*,*,#949,.T.); +#1620=ORIENTED_EDGE('',*,*,#1121,.F.); +#1621=ORIENTED_EDGE('',*,*,#1137,.F.); +#1622=ORIENTED_EDGE('',*,*,#1139,.T.); +#1623=ORIENTED_EDGE('',*,*,#1140,.T.); +#1624=ORIENTED_EDGE('',*,*,#946,.T.); +#1625=ORIENTED_EDGE('',*,*,#1138,.T.); +#1626=ORIENTED_EDGE('',*,*,#1141,.F.); +#1627=ORIENTED_EDGE('',*,*,#1142,.T.); +#1628=ORIENTED_EDGE('',*,*,#1143,.F.); +#1629=ORIENTED_EDGE('',*,*,#1127,.F.); +#1630=ORIENTED_EDGE('',*,*,#950,.T.); +#1631=ORIENTED_EDGE('',*,*,#1140,.F.); +#1632=ORIENTED_EDGE('',*,*,#960,.T.); +#1633=ORIENTED_EDGE('',*,*,#961,.T.); +#1634=ORIENTED_EDGE('',*,*,#1143,.T.); +#1635=ORIENTED_EDGE('',*,*,#966,.T.); +#1636=ORIENTED_EDGE('',*,*,#1130,.T.); +#1637=ORIENTED_EDGE('',*,*,#969,.T.); +#1638=ORIENTED_EDGE('',*,*,#1113,.F.); +#1639=ORIENTED_EDGE('',*,*,#1144,.F.); +#1640=ORIENTED_EDGE('',*,*,#1145,.F.); +#1641=ORIENTED_EDGE('',*,*,#1131,.F.); +#1642=ORIENTED_EDGE('',*,*,#1146,.F.); +#1643=ORIENTED_EDGE('',*,*,#1145,.T.); +#1644=ORIENTED_EDGE('',*,*,#1147,.T.); +#1645=ORIENTED_EDGE('',*,*,#1148,.F.); +#1646=ORIENTED_EDGE('',*,*,#1149,.F.); +#1647=ORIENTED_EDGE('',*,*,#1146,.T.); +#1648=ORIENTED_EDGE('',*,*,#1149,.T.); +#1649=ORIENTED_EDGE('',*,*,#1141,.T.); +#1650=ORIENTED_EDGE('',*,*,#1150,.T.); +#1651=ORIENTED_EDGE('',*,*,#1151,.T.); +#1652=ORIENTED_EDGE('',*,*,#1132,.T.); +#1653=ORIENTED_EDGE('',*,*,#1150,.F.); +#1654=ORIENTED_EDGE('',*,*,#1139,.F.); +#1655=ORIENTED_EDGE('',*,*,#1136,.T.); +#1656=ORIENTED_EDGE('',*,*,#1134,.T.); +#1657=ORIENTED_EDGE('',*,*,#1151,.F.); +#1658=ORIENTED_EDGE('',*,*,#967,.T.); +#1659=ORIENTED_EDGE('',*,*,#1142,.F.); +#1660=ORIENTED_EDGE('',*,*,#1148,.T.); +#1661=ORIENTED_EDGE('',*,*,#1152,.F.); +#1662=ORIENTED_EDGE('',*,*,#1114,.F.); +#1663=ORIENTED_EDGE('',*,*,#1116,.F.); +#1664=ORIENTED_EDGE('',*,*,#1152,.T.); +#1665=ORIENTED_EDGE('',*,*,#1147,.F.); +#1666=ORIENTED_EDGE('',*,*,#1144,.T.); +#1667=ORIENTED_EDGE('',*,*,#1117,.F.); +#1668=ORIENTED_EDGE('',*,*,#1012,.F.); +#1669=ORIENTED_EDGE('',*,*,#991,.T.); +#1670=ORIENTED_EDGE('',*,*,#997,.T.); +#1671=ORIENTED_EDGE('',*,*,#994,.T.); +#1672=ORIENTED_EDGE('',*,*,#1013,.F.); +#1673=PLANE('',#1868); +#1674=PLANE('',#1871); +#1675=PLANE('',#1872); +#1676=PLANE('',#1873); +#1677=PLANE('',#1876); +#1678=PLANE('',#1877); +#1679=PLANE('',#1880); +#1680=PLANE('',#1881); +#1681=PLANE('',#1882); +#1682=PLANE('',#1885); +#1683=PLANE('',#1888); +#1684=PLANE('',#1889); +#1685=PLANE('',#1892); +#1686=PLANE('',#1893); +#1687=PLANE('',#1896); +#1688=PLANE('',#1897); +#1689=PLANE('',#1898); +#1690=PLANE('',#1901); +#1691=PLANE('',#1904); +#1692=PLANE('',#1905); +#1693=PLANE('',#1906); +#1694=PLANE('',#1909); +#1695=PLANE('',#1910); +#1696=PLANE('',#1913); +#1697=PLANE('',#1915); +#1698=PLANE('',#1916); +#1699=PLANE('',#1917); +#1700=PLANE('',#1919); +#1701=PLANE('',#1921); +#1702=PLANE('',#1933); +#1703=PLANE('',#1935); +#1704=PLANE('',#1936); +#1705=PLANE('',#1937); +#1706=PLANE('',#1938); +#1707=PLANE('',#1940); +#1708=PLANE('',#1943); +#1709=PLANE('',#1953); +#1710=PLANE('',#1954); +#1711=PLANE('',#1955); +#1712=PLANE('',#1956); +#1713=PLANE('',#1959); +#1714=PLANE('',#1960); +#1715=PLANE('',#1964); +#1716=PLANE('',#1965); +#1717=PLANE('',#1966); +#1718=PLANE('',#1967); +#1719=PLANE('',#1973); +#1720=PLANE('',#1977); +#1721=PLANE('',#1979); +#1722=PLANE('',#1980); +#1723=PLANE('',#1981); +#1724=PLANE('',#1983); +#1725=PLANE('',#1984); +#1726=PLANE('',#1985); +#1727=PLANE('',#1986); +#1728=PLANE('',#1987); +#1729=PLANE('',#1988); +#1730=PLANE('',#1989); +#1731=PLANE('',#1990); +#1732=PLANE('',#1992); +#1733=PLANE('',#1996); +#1734=PLANE('',#1997); +#1735=PLANE('',#1998); +#1736=PLANE('',#1999); +#1737=PLANE('',#2000); +#1738=PLANE('',#2002); +#1739=PLANE('',#2006); +#1740=PLANE('',#2009); +#1741=ADVANCED_FACE('',(#114),#1673,.F.); +#1742=ADVANCED_FACE('',(#115),#1674,.F.); +#1743=ADVANCED_FACE('',(#116),#1675,.F.); +#1744=ADVANCED_FACE('',(#117),#1676,.T.); +#1745=ADVANCED_FACE('',(#118),#1677,.T.); +#1746=ADVANCED_FACE('',(#119),#1678,.T.); +#1747=ADVANCED_FACE('',(#120),#1679,.T.); +#1748=ADVANCED_FACE('',(#121),#1680,.T.); +#1749=ADVANCED_FACE('',(#122),#1681,.T.); +#1750=ADVANCED_FACE('',(#123),#1682,.T.); +#1751=ADVANCED_FACE('',(#124),#1683,.T.); +#1752=ADVANCED_FACE('',(#125),#1684,.F.); +#1753=ADVANCED_FACE('',(#126),#1685,.F.); +#1754=ADVANCED_FACE('',(#127),#1686,.F.); +#1755=ADVANCED_FACE('',(#128),#1687,.F.); +#1756=ADVANCED_FACE('',(#129),#1688,.T.); +#1757=ADVANCED_FACE('',(#130),#1689,.T.); +#1758=ADVANCED_FACE('',(#131),#1690,.T.); +#1759=ADVANCED_FACE('',(#132),#1691,.T.); +#1760=ADVANCED_FACE('',(#133),#1692,.F.); +#1761=ADVANCED_FACE('',(#134),#1693,.F.); +#1762=ADVANCED_FACE('',(#135),#1694,.F.); +#1763=ADVANCED_FACE('',(#136),#1695,.F.); +#1764=ADVANCED_FACE('',(#137),#1696,.F.); +#1765=ADVANCED_FACE('',(#138),#89,.T.); +#1766=ADVANCED_FACE('',(#139),#1697,.T.); +#1767=ADVANCED_FACE('',(#140),#1698,.F.); +#1768=ADVANCED_FACE('',(#141),#1699,.F.); +#1769=ADVANCED_FACE('',(#142),#90,.F.); +#1770=ADVANCED_FACE('',(#143),#1700,.T.); +#1771=ADVANCED_FACE('',(#144),#1701,.F.); +#1772=ADVANCED_FACE('',(#145),#91,.F.); +#1773=ADVANCED_FACE('',(#146),#92,.T.); +#1774=ADVANCED_FACE('',(#147),#48,.F.); +#1775=ADVANCED_FACE('',(#148),#93,.F.); +#1776=ADVANCED_FACE('',(#149),#49,.F.); +#1777=ADVANCED_FACE('',(#150),#94,.T.); +#1778=ADVANCED_FACE('',(#151),#95,.F.); +#1779=ADVANCED_FACE('',(#152),#50,.F.); +#1780=ADVANCED_FACE('',(#153),#96,.F.); +#1781=ADVANCED_FACE('',(#154,#19,#20),#1702,.T.); +#1782=ADVANCED_FACE('',(#155),#1703,.T.); +#1783=ADVANCED_FACE('',(#156,#21),#1704,.F.); +#1784=ADVANCED_FACE('',(#157),#1705,.F.); +#1785=ADVANCED_FACE('',(#158,#22),#1706,.F.); +#1786=ADVANCED_FACE('',(#159),#1707,.T.); +#1787=ADVANCED_FACE('',(#160),#97,.F.); +#1788=ADVANCED_FACE('',(#161,#23),#1708,.T.); +#1789=ADVANCED_FACE('',(#162),#98,.T.); +#1790=ADVANCED_FACE('',(#163),#17,.T.); +#1791=ADVANCED_FACE('',(#164),#1709,.F.); +#1792=ADVANCED_FACE('',(#165),#1710,.F.); +#1793=ADVANCED_FACE('',(#166),#1711,.F.); +#1794=ADVANCED_FACE('',(#167),#1712,.T.); +#1795=ADVANCED_FACE('',(#168),#18,.T.); +#1796=ADVANCED_FACE('',(#169),#1713,.F.); +#1797=ADVANCED_FACE('',(#170),#51,.F.); +#1798=ADVANCED_FACE('',(#171),#1714,.F.); +#1799=ADVANCED_FACE('',(#172),#52,.F.); +#1800=ADVANCED_FACE('',(#173),#99,.T.); +#1801=ADVANCED_FACE('',(#174),#100,.F.); +#1802=ADVANCED_FACE('',(#175),#1715,.T.); +#1803=ADVANCED_FACE('',(#176),#1716,.T.); +#1804=ADVANCED_FACE('',(#177),#1717,.T.); +#1805=ADVANCED_FACE('',(#178),#1718,.F.); +#1806=ADVANCED_FACE('',(#179),#101,.F.); +#1807=ADVANCED_FACE('',(#180),#102,.T.); +#1808=ADVANCED_FACE('',(#181),#53,.F.); +#1809=ADVANCED_FACE('',(#182),#1719,.F.); +#1810=ADVANCED_FACE('',(#183),#103,.F.); +#1811=ADVANCED_FACE('',(#184),#54,.F.); +#1812=ADVANCED_FACE('',(#185),#1720,.F.); +#1813=ADVANCED_FACE('',(#186),#104,.T.); +#1814=ADVANCED_FACE('',(#187),#1721,.T.); +#1815=ADVANCED_FACE('',(#188),#1722,.F.); +#1816=ADVANCED_FACE('',(#189),#1723,.T.); +#1817=ADVANCED_FACE('',(#190),#105,.F.); +#1818=ADVANCED_FACE('',(#191),#1724,.T.); +#1819=ADVANCED_FACE('',(#192),#1725,.F.); +#1820=ADVANCED_FACE('',(#193),#1726,.F.); +#1821=ADVANCED_FACE('',(#194),#1727,.F.); +#1822=ADVANCED_FACE('',(#195),#1728,.T.); +#1823=ADVANCED_FACE('',(#196),#1729,.T.); +#1824=ADVANCED_FACE('',(#197),#1730,.T.); +#1825=ADVANCED_FACE('',(#198),#1731,.F.); +#1826=ADVANCED_FACE('',(#199),#1732,.T.); +#1827=ADVANCED_FACE('',(#200),#106,.F.); +#1828=ADVANCED_FACE('',(#201),#1733,.T.); +#1829=ADVANCED_FACE('',(#202),#1734,.T.); +#1830=ADVANCED_FACE('',(#203),#1735,.T.); +#1831=ADVANCED_FACE('',(#204),#1736,.F.); +#1832=ADVANCED_FACE('',(#205),#1737,.F.); +#1833=ADVANCED_FACE('',(#206),#107,.T.); +#1834=ADVANCED_FACE('',(#207),#1738,.T.); +#1835=ADVANCED_FACE('',(#208),#108,.T.); +#1836=ADVANCED_FACE('',(#209),#55,.F.); +#1837=ADVANCED_FACE('',(#210),#109,.F.); +#1838=ADVANCED_FACE('',(#211),#1739,.F.); +#1839=ADVANCED_FACE('',(#212),#110,.F.); +#1840=ADVANCED_FACE('',(#213),#1740,.F.); +#1841=ADVANCED_FACE('',(#214),#111,.T.); +#1842=ADVANCED_FACE('',(#215),#56,.F.); +#1843=ADVANCED_FACE('',(#216),#112,.F.); +#1844=ADVANCED_FACE('',(#217),#57,.F.); +#1845=ADVANCED_FACE('',(#218),#113,.F.); +#1846=ADVANCED_FACE('',(#219),#58,.F.); +#1847=ADVANCED_FACE('',(#220),#59,.F.); +#1848=CLOSED_SHELL('',(#1741,#1742,#1743,#1744,#1745,#1746,#1747,#1748, +#1749,#1750,#1751,#1752,#1753,#1754,#1755,#1756,#1757,#1758,#1759,#1760, +#1761,#1762,#1763,#1764,#1765,#1766,#1767,#1768,#1769,#1770,#1771,#1772, +#1773,#1774,#1775,#1776,#1777,#1778,#1779,#1780,#1781,#1782,#1783,#1784, +#1785,#1786,#1787,#1788,#1789,#1790,#1791,#1792,#1793,#1794,#1795,#1796, +#1797,#1798,#1799,#1800,#1801,#1802,#1803,#1804,#1805,#1806,#1807,#1808, +#1809,#1810,#1811,#1812,#1813,#1814,#1815,#1816,#1817,#1818,#1819,#1820, +#1821,#1822,#1823,#1824,#1825,#1826,#1827,#1828,#1829,#1830,#1831,#1832, +#1833,#1834,#1835,#1836,#1837,#1838,#1839,#1840,#1841,#1842,#1843,#1844, +#1845,#1846,#1847)); +#1849=DERIVED_UNIT_ELEMENT(#1851,1.); +#1850=DERIVED_UNIT_ELEMENT(#3574,3.); +#1851=( +MASS_UNIT() +NAMED_UNIT(*) +SI_UNIT($,.GRAM.) +); +#1852=DERIVED_UNIT((#1849,#1850)); +#1853=MEASURE_REPRESENTATION_ITEM('density measure', +POSITIVE_RATIO_MEASURE(1.),#1852); +#1854=PROPERTY_DEFINITION_REPRESENTATION(#1859,#1856); +#1855=PROPERTY_DEFINITION_REPRESENTATION(#1860,#1857); +#1856=REPRESENTATION('material name',(#1858),#3571); +#1857=REPRESENTATION('density',(#1853),#3571); +#1858=DESCRIPTIVE_REPRESENTATION_ITEM('Generic','Generic'); +#1859=PROPERTY_DEFINITION('material property','material name',#3581); +#1860=PROPERTY_DEFINITION('material property','density of part',#3581); +#1861=DATE_TIME_ROLE('creation_date'); +#1862=APPLIED_DATE_AND_TIME_ASSIGNMENT(#1863,#1861,(#3581)); +#1863=DATE_AND_TIME(#1864,#1865); +#1864=CALENDAR_DATE(2013,1,8); +#1865=LOCAL_TIME(15,17,53.,#1866); +#1866=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); +#1867=AXIS2_PLACEMENT_3D('placement',#2483,#2013,#2014); +#1868=AXIS2_PLACEMENT_3D('',#2484,#2015,#2016); +#1869=AXIS2_PLACEMENT_3D('',#2489,#2018,#2019); +#1870=AXIS2_PLACEMENT_3D('',#2492,#2021,#2022); +#1871=AXIS2_PLACEMENT_3D('',#2493,#2023,#2024); +#1872=AXIS2_PLACEMENT_3D('',#2499,#2028,#2029); +#1873=AXIS2_PLACEMENT_3D('',#2505,#2033,#2034); +#1874=AXIS2_PLACEMENT_3D('',#2510,#2036,#2037); +#1875=AXIS2_PLACEMENT_3D('',#2513,#2039,#2040); +#1876=AXIS2_PLACEMENT_3D('',#2514,#2041,#2042); +#1877=AXIS2_PLACEMENT_3D('',#2520,#2046,#2047); +#1878=AXIS2_PLACEMENT_3D('',#2522,#2048,#2049); +#1879=AXIS2_PLACEMENT_3D('',#2525,#2051,#2052); +#1880=AXIS2_PLACEMENT_3D('',#2526,#2053,#2054); +#1881=AXIS2_PLACEMENT_3D('',#2535,#2059,#2060); +#1882=AXIS2_PLACEMENT_3D('',#2541,#2064,#2065); +#1883=AXIS2_PLACEMENT_3D('',#2543,#2066,#2067); +#1884=AXIS2_PLACEMENT_3D('',#2546,#2069,#2070); +#1885=AXIS2_PLACEMENT_3D('',#2547,#2071,#2072); +#1886=AXIS2_PLACEMENT_3D('',#2552,#2074,#2075); +#1887=AXIS2_PLACEMENT_3D('',#2555,#2077,#2078); +#1888=AXIS2_PLACEMENT_3D('',#2556,#2079,#2080); +#1889=AXIS2_PLACEMENT_3D('',#2562,#2084,#2085); +#1890=AXIS2_PLACEMENT_3D('',#2567,#2087,#2088); +#1891=AXIS2_PLACEMENT_3D('',#2570,#2090,#2091); +#1892=AXIS2_PLACEMENT_3D('',#2571,#2092,#2093); +#1893=AXIS2_PLACEMENT_3D('',#2577,#2097,#2098); +#1894=AXIS2_PLACEMENT_3D('',#2579,#2099,#2100); +#1895=AXIS2_PLACEMENT_3D('',#2582,#2102,#2103); +#1896=AXIS2_PLACEMENT_3D('',#2583,#2104,#2105); +#1897=AXIS2_PLACEMENT_3D('',#2589,#2109,#2110); +#1898=AXIS2_PLACEMENT_3D('',#2598,#2115,#2116); +#1899=AXIS2_PLACEMENT_3D('',#2600,#2117,#2118); +#1900=AXIS2_PLACEMENT_3D('',#2603,#2120,#2121); +#1901=AXIS2_PLACEMENT_3D('',#2604,#2122,#2123); +#1902=AXIS2_PLACEMENT_3D('',#2606,#2124,#2125); +#1903=AXIS2_PLACEMENT_3D('',#2609,#2127,#2128); +#1904=AXIS2_PLACEMENT_3D('',#2610,#2129,#2130); +#1905=AXIS2_PLACEMENT_3D('',#2616,#2134,#2135); +#1906=AXIS2_PLACEMENT_3D('',#2625,#2140,#2141); +#1907=AXIS2_PLACEMENT_3D('',#2627,#2142,#2143); +#1908=AXIS2_PLACEMENT_3D('',#2630,#2145,#2146); +#1909=AXIS2_PLACEMENT_3D('',#2631,#2147,#2148); +#1910=AXIS2_PLACEMENT_3D('',#2637,#2152,#2153); +#1911=AXIS2_PLACEMENT_3D('',#2642,#2155,#2156); +#1912=AXIS2_PLACEMENT_3D('',#2645,#2158,#2159); +#1913=AXIS2_PLACEMENT_3D('',#2646,#2160,#2161); +#1914=AXIS2_PLACEMENT_3D('',#2652,#2165,#2166); +#1915=AXIS2_PLACEMENT_3D('',#2655,#2169,#2170); +#1916=AXIS2_PLACEMENT_3D('',#2659,#2173,#2174); +#1917=AXIS2_PLACEMENT_3D('',#2664,#2178,#2179); +#1918=AXIS2_PLACEMENT_3D('',#2666,#2181,#2182); +#1919=AXIS2_PLACEMENT_3D('',#2668,#2184,#2185); +#1920=AXIS2_PLACEMENT_3D('',#2672,#2187,#2188); +#1921=AXIS2_PLACEMENT_3D('',#2675,#2191,#2192); +#1922=AXIS2_PLACEMENT_3D('',#2680,#2195,#2196); +#1923=AXIS2_PLACEMENT_3D('',#2682,#2198,#2199); +#1924=AXIS2_PLACEMENT_3D('',#2702,#2201,#2202); +#1925=AXIS2_PLACEMENT_3D('',#2763,#2207,#2208); +#1926=AXIS2_PLACEMENT_3D('',#2827,#2212,#2213); +#1927=AXIS2_PLACEMENT_3D('',#2836,#2215,#2216); +#1928=AXIS2_PLACEMENT_3D('',#2872,#2220,#2221); +#1929=AXIS2_PLACEMENT_3D('',#2875,#2222,#2223); +#1930=AXIS2_PLACEMENT_3D('',#2876,#2224,#2225); +#1931=AXIS2_PLACEMENT_3D('',#2880,#2228,#2229); +#1932=AXIS2_PLACEMENT_3D('',#2881,#2230,#2231); +#1933=AXIS2_PLACEMENT_3D('',#2882,#2232,#2233); +#1934=AXIS2_PLACEMENT_3D('',#2898,#2243,#2244); +#1935=AXIS2_PLACEMENT_3D('',#2900,#2246,#2247); +#1936=AXIS2_PLACEMENT_3D('',#2906,#2251,#2252); +#1937=AXIS2_PLACEMENT_3D('',#2943,#2265,#2266); +#1938=AXIS2_PLACEMENT_3D('',#2945,#2268,#2269); +#1939=AXIS2_PLACEMENT_3D('',#2948,#2271,#2272); +#1940=AXIS2_PLACEMENT_3D('',#2949,#2273,#2274); +#1941=AXIS2_PLACEMENT_3D('',#2950,#2275,#2276); +#1942=AXIS2_PLACEMENT_3D('',#2953,#2278,#2279); +#1943=AXIS2_PLACEMENT_3D('',#2954,#2280,#2281); +#1944=AXIS2_PLACEMENT_3D('',#2956,#2282,#2283); +#1945=AXIS2_PLACEMENT_3D('',#2957,#2284,#2285); +#1946=AXIS2_PLACEMENT_3D('',#2961,#2287,#2288); +#1947=AXIS2_PLACEMENT_3D('',#2965,#2290,#2291); +#1948=AXIS2_PLACEMENT_3D('',#2969,#2293,#2294); +#1949=AXIS2_PLACEMENT_3D('',#2973,#2296,#2297); +#1950=AXIS2_PLACEMENT_3D('',#2976,#2299,#2300); +#1951=AXIS2_PLACEMENT_3D('',#2977,#2301,#2302); +#1952=AXIS2_PLACEMENT_3D('',#2980,#2303,#2304); +#1953=AXIS2_PLACEMENT_3D('',#2987,#2305,#2306); +#1954=AXIS2_PLACEMENT_3D('',#2989,#2308,#2309); +#1955=AXIS2_PLACEMENT_3D('',#2991,#2311,#2312); +#1956=AXIS2_PLACEMENT_3D('',#2993,#2314,#2315); +#1957=AXIS2_PLACEMENT_3D('',#3013,#2317,#2318); +#1958=AXIS2_PLACEMENT_3D('',#3014,#2319,#2320); +#1959=AXIS2_PLACEMENT_3D('',#3015,#2321,#2322); +#1960=AXIS2_PLACEMENT_3D('',#3065,#2324,#2325); +#1961=AXIS2_PLACEMENT_3D('',#3138,#2328,#2329); +#1962=AXIS2_PLACEMENT_3D('',#3151,#2331,#2332); +#1963=AXIS2_PLACEMENT_3D('',#3154,#2334,#2335); +#1964=AXIS2_PLACEMENT_3D('',#3155,#2336,#2337); +#1965=AXIS2_PLACEMENT_3D('',#3159,#2340,#2341); +#1966=AXIS2_PLACEMENT_3D('',#3166,#2346,#2347); +#1967=AXIS2_PLACEMENT_3D('',#3168,#2349,#2350); +#1968=AXIS2_PLACEMENT_3D('',#3169,#2351,#2352); +#1969=AXIS2_PLACEMENT_3D('',#3170,#2353,#2354); +#1970=AXIS2_PLACEMENT_3D('',#3171,#2355,#2356); +#1971=AXIS2_PLACEMENT_3D('',#3172,#2357,#2358); +#1972=AXIS2_PLACEMENT_3D('',#3173,#2359,#2360); +#1973=AXIS2_PLACEMENT_3D('',#3224,#2364,#2365); +#1974=AXIS2_PLACEMENT_3D('',#3226,#2366,#2367); +#1975=AXIS2_PLACEMENT_3D('',#3233,#2368,#2369); +#1976=AXIS2_PLACEMENT_3D('',#3234,#2370,#2371); +#1977=AXIS2_PLACEMENT_3D('',#3266,#2374,#2375); +#1978=AXIS2_PLACEMENT_3D('',#3268,#2377,#2378); +#1979=AXIS2_PLACEMENT_3D('',#3270,#2380,#2381); +#1980=AXIS2_PLACEMENT_3D('',#3274,#2384,#2385); +#1981=AXIS2_PLACEMENT_3D('',#3279,#2389,#2390); +#1982=AXIS2_PLACEMENT_3D('',#3281,#2392,#2393); +#1983=AXIS2_PLACEMENT_3D('',#3282,#2394,#2395); +#1984=AXIS2_PLACEMENT_3D('',#3283,#2396,#2397); +#1985=AXIS2_PLACEMENT_3D('',#3284,#2398,#2399); +#1986=AXIS2_PLACEMENT_3D('',#3285,#2400,#2401); +#1987=AXIS2_PLACEMENT_3D('',#3286,#2402,#2403); +#1988=AXIS2_PLACEMENT_3D('',#3287,#2404,#2405); +#1989=AXIS2_PLACEMENT_3D('',#3288,#2406,#2407); +#1990=AXIS2_PLACEMENT_3D('',#3289,#2408,#2409); +#1991=AXIS2_PLACEMENT_3D('',#3293,#2411,#2412); +#1992=AXIS2_PLACEMENT_3D('',#3295,#2414,#2415); +#1993=AXIS2_PLACEMENT_3D('',#3298,#2417,#2418); +#1994=AXIS2_PLACEMENT_3D('',#3299,#2419,#2420); +#1995=AXIS2_PLACEMENT_3D('',#3307,#2425,#2426); +#1996=AXIS2_PLACEMENT_3D('',#3308,#2427,#2428); +#1997=AXIS2_PLACEMENT_3D('',#3310,#2430,#2431); +#1998=AXIS2_PLACEMENT_3D('',#3316,#2435,#2436); +#1999=AXIS2_PLACEMENT_3D('',#3319,#2439,#2440); +#2000=AXIS2_PLACEMENT_3D('',#3322,#2443,#2444); +#2001=AXIS2_PLACEMENT_3D('',#3323,#2445,#2446); +#2002=AXIS2_PLACEMENT_3D('',#3325,#2448,#2449); +#2003=AXIS2_PLACEMENT_3D('',#3330,#2452,#2453); +#2004=AXIS2_PLACEMENT_3D('',#3332,#2455,#2456); +#2005=AXIS2_PLACEMENT_3D('',#3391,#2458,#2459); +#2006=AXIS2_PLACEMENT_3D('',#3404,#2461,#2462); +#2007=AXIS2_PLACEMENT_3D('',#3407,#2464,#2465); +#2008=AXIS2_PLACEMENT_3D('',#3410,#2468,#2469); +#2009=AXIS2_PLACEMENT_3D('',#3411,#2470,#2471); +#2010=AXIS2_PLACEMENT_3D('',#3412,#2472,#2473); +#2011=AXIS2_PLACEMENT_3D('',#3482,#2477,#2478); +#2012=AXIS2_PLACEMENT_3D('',#3514,#2481,#2482); +#2013=DIRECTION('axis',(0.,0.,1.)); +#2014=DIRECTION('refdir',(1.,0.,0.)); +#2015=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2016=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2017=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2018=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2019=DIRECTION('ref_axis',(5.61210437815757E-48,-2.22044604925031E-16, +-1.)); +#2020=DIRECTION('',(3.36726262690599E-48,-2.22044604925031E-16,-1.)); +#2021=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2022=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2023=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2024=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2025=DIRECTION('',(1.06110338123355E-28,-8.66678709475425E-13,-1.)); +#2026=DIRECTION('',(-1.22464679914735E-16,1.,-3.3528735343825E-14)); +#2027=DIRECTION('',(2.54191896340051E-29,-2.07785479643525E-13,-1.)); +#2028=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2029=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2030=DIRECTION('',(1.22464679914735E-16,-1.,-6.4020269474005E-14)); +#2031=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2032=DIRECTION('',(1.22464679914735E-16,-1.,-8.13255932466775E-13)); +#2033=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2034=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); +#2035=DIRECTION('',(3.36726262690599E-48,-2.22044604925031E-16,-1.)); +#2036=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2037=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2038=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2039=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2040=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2041=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2042=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); +#2043=DIRECTION('',(-2.12811820191711E-29,1.73996083241925E-13,1.)); +#2044=DIRECTION('',(-1.22464679914735E-16,1.,4.1411318818695E-14)); +#2045=DIRECTION('',(-8.54203000491601E-29,6.97731727467225E-13,1.)); +#2046=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2047=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); +#2048=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2049=DIRECTION('ref_axis',(-5.79666151596615E-18,0.04733333333335,0.998879149624996)); +#2050=DIRECTION('',(4.75670884464262E-30,-3.8619430732735E-14,1.)); +#2051=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2052=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-5.00142982587292E-12)); +#2053=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2054=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2055=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2056=DIRECTION('',(-1.22464679914735E-16,1.,-2.0843594897005E-14)); +#2057=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2058=DIRECTION('',(1.22464679914735E-16,-1.,2.06893562931393E-12)); +#2059=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2060=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2061=DIRECTION('',(-1.22464679914735E-16,1.,-2.0843594897005E-14)); +#2062=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2063=DIRECTION('',(1.22464679914735E-16,-1.,2.06893562931393E-12)); +#2064=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2065=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2066=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2067=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2068=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2069=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2070=DIRECTION('ref_axis',(9.38950951276193E-29,-7.66933680915025E-13, +-1.)); +#2071=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2072=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2073=DIRECTION('',(-1.22464679914735E-16,1.,-8.6264329013375E-14)); +#2074=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2075=DIRECTION('ref_axis',(7.1982932780676E-17,-0.587785252293096,0.809016994374495)); +#2076=DIRECTION('',(-7.19829327805551E-17,0.587785252292109,-0.809016994375212)); +#2077=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2078=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2079=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2080=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2081=DIRECTION('',(9.90760072617357E-17,-0.809016994375165,-0.587785252292174)); +#2082=DIRECTION('',(-2.97262580684554E-29,2.42955362400325E-13,1.)); +#2083=DIRECTION('',(9.90760072617934E-17,-0.809016994375636,-0.587785252291526)); +#2084=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2085=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); +#2086=DIRECTION('',(3.36726262689656E-48,-2.22044604925031E-16,-1.)); +#2087=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2088=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2089=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2090=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2091=DIRECTION('ref_axis',(-4.91387466169359E-28,4.01226111798108E-12, +-1.)); +#2092=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2093=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); +#2094=DIRECTION('',(-2.0816999422183E-29,1.69761655484575E-13,-1.)); +#2095=DIRECTION('',(1.22464679914735E-16,-1.,3.0753177782115E-14)); +#2096=DIRECTION('',(-1.64720705964772E-29,1.34726708381825E-13,1.)); +#2097=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2098=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); +#2099=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2100=DIRECTION('ref_axis',(7.19829327808821E-17,-0.587785252294779,0.809016994373272)); +#2101=DIRECTION('',(7.19829327805551E-17,-0.587785252292109,0.809016994375212)); +#2102=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2103=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2104=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2105=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); +#2106=DIRECTION('',(-9.90760072617876E-17,0.809016994375588,0.587785252291591)); +#2107=DIRECTION('',(2.46389215876424E-29,-2.01414107531125E-13,-1.)); +#2108=DIRECTION('',(9.90760072617358E-17,-0.809016994375165,-0.587785252292174)); +#2109=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2110=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2111=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2112=DIRECTION('',(7.56370588613847E-30,-6.1984390216775E-14,-1.)); +#2113=DIRECTION('',(-1.22464679914735E-16,1.,8.5820239803525E-14)); +#2114=DIRECTION('',(2.60191482483207E-29,-2.12240424299875E-13,1.)); +#2115=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2116=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2117=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2118=DIRECTION('ref_axis',(7.1982932780676E-17,-0.587785252293096,0.809016994374495)); +#2119=DIRECTION('',(-7.19829327805551E-17,0.587785252292109,-0.809016994375212)); +#2120=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2121=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2122=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2123=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2124=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2125=DIRECTION('ref_axis',(8.98232833191182E-29,-7.33684814292125E-13, +-1.)); +#2126=DIRECTION('',(-3.36726262689723E-48,2.22044604925031E-16,1.)); +#2127=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2128=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2129=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2130=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2131=DIRECTION('',(-9.90760072617357E-17,0.809016994375165,0.587785252292174)); +#2132=DIRECTION('',(-2.97262580684554E-29,2.42955362400325E-13,1.)); +#2133=DIRECTION('',(9.90760072617934E-17,-0.809016994375636,-0.587785252291526)); +#2134=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2135=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2136=DIRECTION('',(-3.36726262689791E-48,2.22044604925031E-16,1.)); +#2137=DIRECTION('',(-1.22464679914735E-16,1.,-5.2806997849735E-14)); +#2138=DIRECTION('',(-3.36726262688915E-48,2.22044604925031E-16,1.)); +#2139=DIRECTION('',(-1.22464679914735E-16,1.,2.0399505687155E-14)); +#2140=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2141=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2142=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2143=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2144=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2145=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2146=DIRECTION('ref_axis',(-4.92914395597475E-28,4.02472944296408E-12, +-1.)); +#2147=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2148=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2149=DIRECTION('',(-1.22464679914735E-16,1.,-5.2806997849735E-14)); +#2150=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2151=DIRECTION('',(-1.22464679914735E-16,1.,2.0399505687155E-14)); +#2152=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2153=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2154=DIRECTION('',(1.22464679914735E-16,-1.,-3.0309088572265E-14)); +#2155=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2156=DIRECTION('ref_axis',(7.19829327808821E-17,-0.587785252294779,0.809016994373272)); +#2157=DIRECTION('',(7.1982932780555E-17,-0.587785252292109,0.809016994375212)); +#2158=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2159=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2160=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2161=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2162=DIRECTION('',(9.90760072617876E-17,-0.809016994375588,-0.587785252291591)); +#2163=DIRECTION('',(2.46647020089989E-29,-2.01624620647025E-13,-1.)); +#2164=DIRECTION('',(9.90760072617358E-17,-0.809016994375165,-0.587785252292174)); +#2165=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2166=DIRECTION('ref_axis',(1.22320986068763E-16,-0.998826650703924,0.0484285230373714)); +#2167=DIRECTION('',(-1.,-1.22464679914742E-16,-3.035766082959E-14)); +#2168=DIRECTION('',(1.,-3.05604568014253E-14,6.78578455680096E-30)); +#2169=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2170=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2171=DIRECTION('',(1.22464679914735E-16,-1.,4.64527983432025E-13)); +#2172=DIRECTION('',(-1.,-1.22464679914726E-16,4.380176776841E-14)); +#2173=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2174=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2175=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2176=DIRECTION('',(-1.22464679914735E-16,1.,-4.0101082295595E-14)); +#2177=DIRECTION('',(1.,1.22464679914739E-16,1.734723475977E-14)); +#2178=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2179=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2180=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2181=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2182=DIRECTION('ref_axis',(1.21444077871371E-16,-0.99166615187273,0.128834169496804)); +#2183=DIRECTION('',(-1.,1.25762532653253E-14,-2.79248918773628E-30)); +#2184=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2185=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2186=DIRECTION('',(-1.,-1.22464679914739E-16,-1.580554543172E-14)); +#2187=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2188=DIRECTION('ref_axis',(-0.905948407741114,-2.0495772498149E-16,-0.423388099161207)); +#2189=DIRECTION('',(-1.,-1.22464679914724E-16,5.172723959471E-14)); +#2190=DIRECTION('',(-7.56370588613969E-30,6.1984390216785E-14,1.)); +#2191=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2192=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2193=DIRECTION('',(-2.60149461894986E-29,2.12206111885675E-13,-1.)); +#2194=DIRECTION('',(1.,1.22464679914708E-16,-1.221337601541E-13)); +#2195=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2196=DIRECTION('ref_axis',(0.905948407741247,1.69356385651491E-17,-0.423388099160922)); +#2197=DIRECTION('',(1.,1.35032957556248E-14,1.709872642158E-13)); +#2198=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2199=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); +#2200=DIRECTION('',(-1.,-8.65820978747747E-14,1.367401118074E-14)); +#2201=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2202=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); +#2203=DIRECTION('',(1.,-6.72980479172352E-14,7.596677475735E-14)); +#2204=DIRECTION('',(-1.36124785196515E-13,1.,-3.27737836869325E-13)); +#2205=DIRECTION('',(7.52396959039837E-7,-0.798815897584111,0.601575566131409)); +#2206=DIRECTION('',(-1.36124785196515E-13,1.,-3.27737836869325E-13)); +#2207=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2208=DIRECTION('ref_axis',(0.92799049589484,3.09113812500467E-17,-0.372603864082016)); +#2209=DIRECTION('',(-2.44126600737585E-13,-1.,2.25042207091525E-13)); +#2210=DIRECTION('',(-2.44126600737585E-13,-1.,2.25042207091525E-13)); +#2211=DIRECTION('',(-7.4099102280493E-7,0.798815898976503,-0.601575564282503)); +#2212=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2213=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); +#2214=DIRECTION('',(1.,1.98738024318947E-14,-6.83700152953E-14)); +#2215=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2216=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); +#2217=DIRECTION('',(-1.,-2.71381326029648E-14,-1.671268033201E-13)); +#2218=DIRECTION('',(-1.08504227276895E-6,0.587785252291983,-0.809016994374576)); +#2219=DIRECTION('',(-1.08504227276895E-6,0.587785252291983,-0.809016994374576)); +#2220=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2221=DIRECTION('ref_axis',(-0.990117793621231,0.113455092873807,0.0824299499916275)); +#2222=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2223=DIRECTION('ref_axis',(-1.43369639480403E-17,2.22044604925031E-16, +1.)); +#2224=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2225=DIRECTION('ref_axis',(0.752877169209016,0.532463474698734,0.386857358978177)); +#2226=DIRECTION('',(1.10560375861594E-6,-0.587785252291678,0.80901699437477)); +#2227=DIRECTION('',(1.10560375861594E-6,-0.587785252291678,0.80901699437477)); +#2228=DIRECTION('center_axis',(7.19829327806046E-17,-0.587785252292513, +0.809016994374918)); +#2229=DIRECTION('ref_axis',(0.980839673372145,0.15760999729371,0.114510365872702)); +#2230=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2231=DIRECTION('ref_axis',(-0.980839673372249,0.15760999729329,0.114510365872397)); +#2232=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2233=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2234=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2235=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2236=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2237=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2238=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2239=DIRECTION('',(1.,-6.98750001392853E-14,-3.233450400656E-13)); +#2240=DIRECTION('',(1.,4.99445462700248E-14,3.311053210272E-13)); +#2241=DIRECTION('',(-1.,-1.22464679914739E-16,-1.734723475977E-14)); +#2242=DIRECTION('',(1.,-6.98278456542753E-14,-3.285185607066E-13)); +#2243=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2244=DIRECTION('ref_axis',(-1.43369639480403E-17,2.22044604925031E-16, +1.)); +#2245=DIRECTION('',(1.,4.97559283299848E-14,3.311053210272E-13)); +#2246=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2247=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2248=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2249=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2250=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2251=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2252=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2253=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2254=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2255=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2256=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2257=DIRECTION('',(-1.,-1.22464679914752E-16,-7.38857569556E-14)); +#2258=DIRECTION('',(1.,1.22464679914709E-16,-1.193489751472E-13)); +#2259=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2260=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2261=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2262=DIRECTION('',(1.,1.2246467991471E-16,-1.137978600241E-13)); +#2263=DIRECTION('',(-2.71811933979613E-30,2.1973084159455E-14,-1.)); +#2264=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2265=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2266=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2267=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2268=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2269=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2270=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2271=DIRECTION('center_axis',(0.,2.22044604925031E-16,1.)); +#2272=DIRECTION('ref_axis',(1.34752285577285E-13,1.,-2.22044604925031E-16)); +#2273=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2274=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); +#2275=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2276=DIRECTION('ref_axis',(0.0627905195295175,-0.998026728428259,2.21606450618474E-16)); +#2277=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2278=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2279=DIRECTION('ref_axis',(1.33696172330215E-13,-1.,2.22044604925031E-16)); +#2280=DIRECTION('center_axis',(0.,2.22044604925031E-16,1.)); +#2281=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2282=DIRECTION('center_axis',(0.,2.22044604925031E-16,1.)); +#2283=DIRECTION('ref_axis',(1.,-6.05411676667685E-13,1.34428396562677E-28)); +#2284=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2285=DIRECTION('ref_axis',(-0.998026728428274,-0.0627905195292685,1.39422961019139E-17)); +#2286=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2287=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2288=DIRECTION('ref_axis',(-0.998026728428274,-0.0627905195292685,1.39422961019139E-17)); +#2289=DIRECTION('',(0.,2.22044604925031E-16,1.)); +#2290=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2291=DIRECTION('ref_axis',(0.366668542965391,-0.930351642982177,2.06579563007331E-16)); +#2292=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2293=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, +-1.)); +#2294=DIRECTION('ref_axis',(-0.366683925769921,-0.930345580191554,-6.06214566950332E-12)); +#2295=DIRECTION('',(-4.82623637167283E-7,-2.10645974358488E-6,0.999999999997665)); +#2296=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2297=DIRECTION('ref_axis',(-0.366668542965391,0.930351642982177,-2.06579563007331E-16)); +#2298=DIRECTION('',(-1.91871792214708E-7,1.86545409494903E-6,-0.999999999998242)); +#2299=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2300=DIRECTION('ref_axis',(-0.998026728428274,-0.0627905195292685,1.39422961019139E-17)); +#2301=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, +-1.)); +#2302=DIRECTION('ref_axis',(-0.261693897529389,-0.96515092291096,-6.28893780151795E-12)); +#2303=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, +-1.)); +#2304=DIRECTION('ref_axis',(-0.407426727320992,-0.913237899927782,-5.95067176987463E-12)); +#2305=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2306=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2307=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2308=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2309=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2310=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2311=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2312=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2313=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2314=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2315=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2316=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2317=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, +-1.)); +#2318=DIRECTION('ref_axis',(-0.261693897529389,-0.96515092291096,-6.28893780151795E-12)); +#2319=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, +-1.)); +#2320=DIRECTION('ref_axis',(0.407426605634987,0.91323795421607,5.95067212361793E-12)); +#2321=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2322=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2323=DIRECTION('',(-7.65533258124356E-17,0.625105343563018,0.780540395782922)); +#2324=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2325=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2326=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2327=DIRECTION('',(-7.65533258124222E-17,0.625105343562908,0.78054039578301)); +#2328=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2329=DIRECTION('ref_axis',(-1.22320986068768E-16,0.998826650703963,-0.0484285230365684)); +#2330=DIRECTION('',(1.,7.53364395756615E-13,-1.67280499620362E-28)); +#2331=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2332=DIRECTION('ref_axis',(-1.21444077871144E-16,0.991666151870873,-0.128834169511097)); +#2333=DIRECTION('',(-1.,6.64909167975952E-14,-2.831068712794E-13)); +#2334=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2335=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,1.39680859471507E-12)); +#2336=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2337=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2338=DIRECTION('',(-3.70808474576373E-30,3.0056765157575E-14,-1.)); +#2339=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2340=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2341=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2342=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2343=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2344=DIRECTION('',(-1.,-1.11144767142415E-13,4.829470157119E-13)); +#2345=DIRECTION('',(-3.70808474576373E-30,3.0056765157575E-14,-1.)); +#2346=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2347=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2348=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2349=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2350=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2351=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2352=DIRECTION('ref_axis',(-5.79666151596249E-18,0.0473333333333201,0.998879149624997)); +#2353=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2354=DIRECTION('ref_axis',(-1.21444077871144E-16,0.991666151870873,-0.128834169511097)); +#2355=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2356=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2357=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2358=DIRECTION('ref_axis',(-1.22320986068768E-16,0.998826650703963,-0.0484285230365684)); +#2359=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2360=DIRECTION('ref_axis',(-2.17374806849756E-18,0.0177500000000901,0.999842456339996)); +#2361=DIRECTION('',(1.,-6.16874155866085E-13,4.398777168561E-13)); +#2362=DIRECTION('',(3.47626338118609E-12,1.,5.72297764735208E-12)); +#2363=DIRECTION('',(3.47626338118609E-12,1.,5.72297764735208E-12)); +#2364=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2365=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2366=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2367=DIRECTION('ref_axis',(-0.933892514695983,-1.93761756014383E-16,-0.357553871458293)); +#2368=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2369=DIRECTION('ref_axis',(0.95003718147939,4.70377168958331E-17,-0.312136754975597)); +#2370=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2371=DIRECTION('ref_axis',(0.933892514696435,3.4975939759956E-17,-0.357553871457113)); +#2372=DIRECTION('',(4.03749249453915E-13,-1.,-1.19049214930907E-12)); +#2373=DIRECTION('',(4.03749249453915E-13,-1.,-1.19049214930907E-12)); +#2374=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2375=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2376=DIRECTION('',(-1.,3.87353411819653E-14,-8.60097352938577E-30)); +#2377=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2378=DIRECTION('ref_axis',(5.93078357303182E-18,-0.0484285230415912,-0.99882665070372)); +#2379=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2380=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2381=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2382=DIRECTION('',(-1.22464679914735E-16,1.,8.86012288170575E-13)); +#2383=DIRECTION('',(1.,1.22464679914747E-16,5.190292640123E-14)); +#2384=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2385=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2386=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2387=DIRECTION('',(1.22464679914735E-16,-1.,-7.7952337587505E-14)); +#2388=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2389=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2390=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2391=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); +#2392=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2393=DIRECTION('ref_axis',(1.5777635329421E-17,-0.128834169496103,-0.991666151872821)); +#2394=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2395=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); +#2396=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2397=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); +#2398=DIRECTION('center_axis',(-7.19829327806126E-17,0.587785252292579, +-0.809016994374871)); +#2399=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2400=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2401=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); +#2402=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); +#2403=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2404=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2405=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); +#2406=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); +#2407=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2408=DIRECTION('center_axis',(-7.19829327806126E-17,0.587785252292579, +-0.809016994374871)); +#2409=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2410=DIRECTION('',(1.,-7.06215094798153E-14,-2.446127213899E-13)); +#2411=DIRECTION('center_axis',(7.19829327806046E-17,-0.587785252292513, +0.809016994374918)); +#2412=DIRECTION('ref_axis',(0.980839673372145,0.15760999729371,0.114510365872702)); +#2413=DIRECTION('',(1.,1.22464679914787E-16,2.324583307575E-13)); +#2414=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); +#2415=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2416=DIRECTION('',(-1.,-1.29075986787115E-13,-1.139500931728E-13)); +#2417=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2418=DIRECTION('ref_axis',(0.752877169209016,0.532463474698734,0.386857358978177)); +#2419=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2420=DIRECTION('ref_axis',(-0.990117793621231,0.113455092873807,0.0824299499916275)); +#2421=DIRECTION('',(1.10560375722903E-6,-0.587785252291725,0.809016994374735)); +#2422=DIRECTION('',(1.10560375722903E-6,-0.587785252291725,0.809016994374735)); +#2423=DIRECTION('',(-1.08504225750397E-6,0.587785252292095,-0.809016994374494)); +#2424=DIRECTION('',(-1.08504225750397E-6,0.587785252292095,-0.809016994374494)); +#2425=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, +-0.809016994374918)); +#2426=DIRECTION('ref_axis',(-0.980839673372249,0.15760999729329,0.114510365872397)); +#2427=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); +#2428=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2429=DIRECTION('',(-1.,-1.26569749289247E-14,2.81041299763912E-30)); +#2430=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2431=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2432=DIRECTION('',(1.22464679914735E-16,-1.,4.8239661416135E-14)); +#2433=DIRECTION('',(-3.36726262688915E-48,2.22044604925031E-16,1.)); +#2434=DIRECTION('',(-1.22464679914735E-16,1.,-4.15289579752725E-13)); +#2435=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2436=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2437=DIRECTION('',(-1.,-1.22464679914742E-16,-3.035766082959E-14)); +#2438=DIRECTION('',(-1.,-1.22464679914725E-16,4.423544863741E-14)); +#2439=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); +#2440=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2441=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2442=DIRECTION('',(1.,1.22464679914739E-16,1.691355389077E-14)); +#2443=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2444=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2445=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2446=DIRECTION('ref_axis',(1.22320986068763E-16,-0.998826650703924,0.0484285230373714)); +#2447=DIRECTION('',(1.,-3.04520365841753E-14,6.76171043249579E-30)); +#2448=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2449=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2450=DIRECTION('',(1.64720705964772E-29,-1.34726708381825E-13,-1.)); +#2451=DIRECTION('',(-1.,-1.22464679914738E-16,-1.149494213216E-14)); +#2452=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2453=DIRECTION('ref_axis',(-0.905948407741265,-2.04957724981437E-16,-0.423388099160884)); +#2454=DIRECTION('',(-1.,-1.22464679914739E-16,-1.724241319824E-14)); +#2455=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2456=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); +#2457=DIRECTION('',(7.4099100753933E-7,-0.798815898976503,0.601575564282503)); +#2458=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2459=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); +#2460=DIRECTION('',(1.,1.22464679914717E-16,-8.046459492509E-14)); +#2461=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); +#2462=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2463=DIRECTION('',(-1.,1.24678330480753E-14,-2.76841506343112E-30)); +#2464=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2465=DIRECTION('ref_axis',(0.90594840774117,1.69356385651032E-17,-0.423388099161086)); +#2466=DIRECTION('',(1.,1.79037032905948E-14,1.666766609163E-13)); +#2467=DIRECTION('',(2.08127973633609E-29,-1.69727343070375E-13,1.)); +#2468=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2469=DIRECTION('ref_axis',(1.21444077871371E-16,-0.99166615187273,0.128834169496804)); +#2470=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); +#2471=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); +#2472=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2473=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); +#2474=DIRECTION('',(-2.01350387893215E-13,1.,-2.69451128076525E-13)); +#2475=DIRECTION('',(-7.52396958345923E-7,0.798815897584195,-0.601575566131297)); +#2476=DIRECTION('',(-2.01350387893215E-13,1.,-2.69451128076525E-13)); +#2477=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); +#2478=DIRECTION('ref_axis',(0.92799049589484,3.09113812500467E-17,-0.372603864082016)); +#2479=DIRECTION('',(-1.76125440479285E-13,-1.,1.02917674382725E-13)); +#2480=DIRECTION('',(-1.76125440479285E-13,-1.,1.02917674382725E-13)); +#2481=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); +#2482=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); +#2483=CARTESIAN_POINT('',(0.,0.,0.)); +#2484=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); +#2485=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.213942573184684)); +#2486=CARTESIAN_POINT('',(0.654699999999999,1.97763577318468,0.213942573184684)); +#2487=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.213942573184684)); +#2488=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); +#2489=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.213942573184684)); +#2490=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.0107425731846836)); +#2491=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); +#2492=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.213942573184684)); +#2493=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); +#2494=CARTESIAN_POINT('',(0.654699999999999,1.97763577318446,0.506042573184589)); +#2495=CARTESIAN_POINT('',(0.654699999999999,1.97763577318468,0.506042573184683)); +#2496=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); +#2497=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); +#2498=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); +#2499=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); +#2500=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.137742573184684)); +#2501=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); +#2502=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.010742573184684)); +#2503=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.010742573184684)); +#2504=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.0107425731846836)); +#2505=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); +#2506=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.137742573184683)); +#2507=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.0107425731846836)); +#2508=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.137742573184683)); +#2509=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); +#2510=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.213942573184684)); +#2511=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318473,0.213942573184684)); +#2512=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); +#2513=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.213942573184684)); +#2514=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); +#2515=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.506042573184647)); +#2516=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); +#2517=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318468,0.506042573184683)); +#2518=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.506042573184683)); +#2519=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318468,0.213942573184684)); +#2520=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); +#2521=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318468,0.582157164385982)); +#2522=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.506042573184683)); +#2523=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318469,0.709210560312972)); +#2524=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318468,0.582157164385982)); +#2525=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.5060425731857)); +#2526=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#2527=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.137742573184684)); +#2528=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.0107425731846599)); +#2529=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.137742573184684)); +#2530=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); +#2531=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); +#2532=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.0107425731846845)); +#2533=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); +#2534=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.0107425731846845)); +#2535=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#2536=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.137742573184684)); +#2537=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); +#2538=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.0107425731846842)); +#2539=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.0107425731846842)); +#2540=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.0107425731846845)); +#2541=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#2542=CARTESIAN_POINT('',(0.8833,-1.97761713887337,0.213942573184684)); +#2543=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887417,0.213942573184685)); +#2544=CARTESIAN_POINT('',(0.8833,-1.85061713887329,0.213942573184685)); +#2545=CARTESIAN_POINT('',(0.8833,-1.85061713887327,0.213942573184685)); +#2546=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887329,0.213942573184685)); +#2547=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#2548=CARTESIAN_POINT('',(0.8833,-1.97761713887335,0.534977811305723)); +#2549=CARTESIAN_POINT('',(0.8833,-1.85061713887335,0.53497781130571)); +#2550=CARTESIAN_POINT('',(0.8833,-1.97761713887335,0.53497781130571)); +#2551=CARTESIAN_POINT('',(0.8833,-1.89385510213921,0.69937006456271)); +#2552=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887322,0.53497781130571)); +#2553=CARTESIAN_POINT('',(0.8833,-1.81920637509813,0.596624906277174)); +#2554=CARTESIAN_POINT('',(0.8833,-1.89385510213921,0.69937006456271)); +#2555=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887312,0.53497781130571)); +#2556=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#2557=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.802028834793654)); +#2558=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.802028834793654)); +#2559=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); +#2560=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); +#2561=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); +#2562=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); +#2563=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.137742573184684)); +#2564=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.0107425731846846)); +#2565=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.137742573184684)); +#2566=CARTESIAN_POINT('',(1.6961,-1.8506171388733,0.213942573184685)); +#2567=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887329,0.213942573184685)); +#2568=CARTESIAN_POINT('',(1.6961,-1.9776171388734,0.213942573184684)); +#2569=CARTESIAN_POINT('',(1.6961,-1.8506171388733,0.213942573184685)); +#2570=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887417,0.213942573184685)); +#2571=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); +#2572=CARTESIAN_POINT('',(1.6961,-1.85061713887335,0.534977811305752)); +#2573=CARTESIAN_POINT('',(1.6961,-1.85061713887335,0.53497781130571)); +#2574=CARTESIAN_POINT('',(1.6961,-1.97761713887335,0.53497781130571)); +#2575=CARTESIAN_POINT('',(1.6961,-1.85061713887335,0.53497781130571)); +#2576=CARTESIAN_POINT('',(1.6961,-1.9776171388734,0.213942573184684)); +#2577=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); +#2578=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); +#2579=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887312,0.53497781130571)); +#2580=CARTESIAN_POINT('',(1.6961,-1.89385510213918,0.699370064562728)); +#2581=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); +#2582=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887322,0.53497781130571)); +#2583=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); +#2584=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.645048201651155)); +#2585=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); +#2586=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); +#2587=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); +#2588=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); +#2589=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); +#2590=CARTESIAN_POINT('',(-1.6567,-1.97761713887337,0.213942573184684)); +#2591=CARTESIAN_POINT('',(-1.6567,-1.85061713887329,0.213942573184685)); +#2592=CARTESIAN_POINT('',(-1.6567,-1.97761713887337,0.213942573184684)); +#2593=CARTESIAN_POINT('',(-1.6567,-1.97761713887335,0.534977811305706)); +#2594=CARTESIAN_POINT('',(-1.6567,-1.97761713887335,0.53497781130571)); +#2595=CARTESIAN_POINT('',(-1.6567,-1.85061713887335,0.53497781130571)); +#2596=CARTESIAN_POINT('',(-1.6567,-1.97761713887335,0.53497781130571)); +#2597=CARTESIAN_POINT('',(-1.6567,-1.85061713887327,0.213942573184685)); +#2598=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); +#2599=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); +#2600=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887322,0.53497781130571)); +#2601=CARTESIAN_POINT('',(-1.6567,-1.81920637509813,0.596624906276958)); +#2602=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); +#2603=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887312,0.53497781130571)); +#2604=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); +#2605=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.137742573184684)); +#2606=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887329,0.213942573184685)); +#2607=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.0107425731846599)); +#2608=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.0107425731846846)); +#2609=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887417,0.213942573184685)); +#2610=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); +#2611=CARTESIAN_POINT('',(-1.6567,-1.75255742681531,0.802028834793654)); +#2612=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); +#2613=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); +#2614=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); +#2615=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); +#2616=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#2617=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.0107425731846846)); +#2618=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.137742573184684)); +#2619=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.0107425731846846)); +#2620=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); +#2621=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); +#2622=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.137742573184684)); +#2623=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); +#2624=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.137742573184684)); +#2625=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#2626=CARTESIAN_POINT('',(-0.8439,-1.8506171388733,0.213942573184685)); +#2627=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887329,0.213942573184685)); +#2628=CARTESIAN_POINT('',(-0.8439,-1.9776171388734,0.213942573184684)); +#2629=CARTESIAN_POINT('',(-0.8439,-1.9776171388734,0.213942573184684)); +#2630=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887417,0.213942573184685)); +#2631=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#2632=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.0107425731846842)); +#2633=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); +#2634=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.137742573184684)); +#2635=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.137742573184684)); +#2636=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.137742573184684)); +#2637=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#2638=CARTESIAN_POINT('',(-0.8439,-1.85061713887335,0.534977811305751)); +#2639=CARTESIAN_POINT('',(-0.8439,-1.97761713887335,0.53497781130571)); +#2640=CARTESIAN_POINT('',(-0.8439,-1.85061713887335,0.53497781130571)); +#2641=CARTESIAN_POINT('',(-0.8439,-1.8192063750981,0.596624906277213)); +#2642=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887312,0.53497781130571)); +#2643=CARTESIAN_POINT('',(-0.8439,-1.89385510213919,0.699370064562764)); +#2644=CARTESIAN_POINT('',(-0.8439,-1.8192063750981,0.596624906277213)); +#2645=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887322,0.53497781130571)); +#2646=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#2647=CARTESIAN_POINT('',(-0.8439,-1.75255742681532,0.645048201651155)); +#2648=CARTESIAN_POINT('',(-0.8439,-1.75255742681532,0.645048201651155)); +#2649=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); +#2650=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); +#2651=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); +#2652=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887417,0.213942573184685)); +#2653=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.0107425731846846)); +#2654=CARTESIAN_POINT('',(0.8833,-1.97761713887337,0.213942573184684)); +#2655=CARTESIAN_POINT('Origin',(1.2897,-1.34130000000009,0.0107425731846844)); +#2656=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.0107425731846842)); +#2657=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.0107425731846842)); +#2658=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.0107425731846842)); +#2659=CARTESIAN_POINT('Origin',(1.2897,-1.34130000000009,0.137742573184684)); +#2660=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.137742573184684)); +#2661=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.137742573184684)); +#2662=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.137742573184684)); +#2663=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.137742573184684)); +#2664=CARTESIAN_POINT('Origin',(1.2897,-0.908182861126625,0.0742425731846839)); +#2665=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.137742573184684)); +#2666=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887329,0.213942573184685)); +#2667=CARTESIAN_POINT('',(1.6961,-1.8506171388733,0.213942573184685)); +#2668=CARTESIAN_POINT('Origin',(1.2897,-1.97761713887335,0.374460192245324)); +#2669=CARTESIAN_POINT('',(1.45077762689643,-1.97761713887335,0.53497781130571)); +#2670=CARTESIAN_POINT('',(1.6961,-1.97761713887335,0.53497781130571)); +#2671=CARTESIAN_POINT('',(1.12862237310357,-1.97761713887335,0.53497781130571)); +#2672=CARTESIAN_POINT('Origin',(1.2897,-1.97761713887335,0.610256215336612)); +#2673=CARTESIAN_POINT('',(1.12862237310357,-1.97761713887335,0.53497781130571)); +#2674=CARTESIAN_POINT('',(0.8833,-1.97761713887337,0.213942573184684)); +#2675=CARTESIAN_POINT('Origin',(1.2897,-1.85061713887335,0.374460192245324)); +#2676=CARTESIAN_POINT('',(0.8833,-1.85061713887335,0.53497781130571)); +#2677=CARTESIAN_POINT('',(1.12862237310357,-1.85061713887335,0.53497781130571)); +#2678=CARTESIAN_POINT('',(0.8833,-1.85061713887335,0.53497781130571)); +#2679=CARTESIAN_POINT('',(1.45077762689643,-1.85061713887335,0.53497781130571)); +#2680=CARTESIAN_POINT('Origin',(1.2897,-1.85061713887335,0.610256215336613)); +#2681=CARTESIAN_POINT('',(1.45077762689643,-1.85061713887335,0.53497781130571)); +#2682=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887312,0.53497781130571)); +#2683=CARTESIAN_POINT('',(1.4675,-1.83528691039211,0.580817869281748)); +#2684=CARTESIAN_POINT('Ctrl Pts',(1.4674999999999,-1.83528691039218,0.580817869281804)); +#2685=CARTESIAN_POINT('Ctrl Pts',(1.46749999999986,-1.83775897047936,0.577535287641832)); +#2686=CARTESIAN_POINT('Ctrl Pts',(1.46716142265302,-1.8399475816631,0.5740756334841)); +#2687=CARTESIAN_POINT('Ctrl Pts',(1.46582213007869,-1.84375650477271,0.566844056481721)); +#2688=CARTESIAN_POINT('Ctrl Pts',(1.46481919138464,-1.84534470149949,0.563110514155449)); +#2689=CARTESIAN_POINT('Ctrl Pts',(1.462084415834,-1.84800548564382,0.555229621371978)); +#2690=CARTESIAN_POINT('Ctrl Pts',(1.46030488817754,-1.84900000607034,0.55111706900112)); +#2691=CARTESIAN_POINT('Ctrl Pts',(1.45604056878408,-1.85032176447534,0.54290718951096)); +#2692=CARTESIAN_POINT('Ctrl Pts',(1.45355009920341,-1.85061713887335,0.538853902863177)); +#2693=CARTESIAN_POINT('Ctrl Pts',(1.45077762689657,-1.85061713887335,0.534977811305604)); +#2694=CARTESIAN_POINT('',(1.46409329392569,-1.81920637509813,0.596624906277213)); +#2695=CARTESIAN_POINT('Ctrl Pts',(1.46409329392559,-1.81920637509811,0.59662490627714)); +#2696=CARTESIAN_POINT('Ctrl Pts',(1.46521498460559,-1.82219701402636,0.594452079909846)); +#2697=CARTESIAN_POINT('Ctrl Pts',(1.46607149691714,-1.82507936978297,0.592024828605427)); +#2698=CARTESIAN_POINT('Ctrl Pts',(1.46721295594855,-1.83049045345921,0.586711703515785)); +#2699=CARTESIAN_POINT('Ctrl Pts',(1.46750022332458,-1.83299677146113,0.583858882745466)); +#2700=CARTESIAN_POINT('Ctrl Pts',(1.46749999999976,-1.83528691039218,0.580817869281804)); +#2701=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); +#2702=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887322,0.53497781130571)); +#2703=CARTESIAN_POINT('',(1.4640933420347,-1.89385510772357,0.699370060505361)); +#2704=CARTESIAN_POINT('',(1.4640934343374,-1.89385510213916,0.69937006456271)); +#2705=CARTESIAN_POINT('',(1.4675000318515,-1.93673672615384,0.657218114363483)); +#2706=CARTESIAN_POINT('Ctrl Pts',(1.46749999999987,-1.9367365293796,0.657217966188168)); +#2707=CARTESIAN_POINT('Ctrl Pts',(1.46750309552904,-1.9306688248712,0.665275106646836)); +#2708=CARTESIAN_POINT('Ctrl Pts',(1.46721656216776,-1.92401259340339,0.672864908018015)); +#2709=CARTESIAN_POINT('Ctrl Pts',(1.46607578381892,-1.90964635043123,0.686980123831027)); +#2710=CARTESIAN_POINT('Ctrl Pts',(1.4652236622646,-1.90196389138766,0.693478683580883)); +#2711=CARTESIAN_POINT('Ctrl Pts',(1.4640932952984,-1.89385511198778,0.699370057407333)); +#2712=CARTESIAN_POINT('Ctrl Pts',(1.45077762689661,-1.97761713887335,0.53497781130566)); +#2713=CARTESIAN_POINT('Ctrl Pts',(1.45332977791454,-1.97761713887335,0.544492660551524)); +#2714=CARTESIAN_POINT('Ctrl Pts',(1.45555422282574,-1.97694663290019,0.554052847460742)); +#2715=CARTESIAN_POINT('Ctrl Pts',(1.45748734816703,-1.97559632680792,0.563568362952935)); +#2716=CARTESIAN_POINT('Ctrl Pts',(1.45847237020026,-1.97490827972474,0.568416984103971)); +#2717=CARTESIAN_POINT('Ctrl Pts',(1.45938145104245,-1.97404305244094,0.573254545466162)); +#2718=CARTESIAN_POINT('Ctrl Pts',(1.46021749142032,-1.97299510981541,0.578071076322041)); +#2719=CARTESIAN_POINT('Ctrl Pts',(1.46137568268724,-1.97154336414705,0.584743557845486)); +#2720=CARTESIAN_POINT('Ctrl Pts',(1.46239286130754,-1.96975484808545,0.591375817973396)); +#2721=CARTESIAN_POINT('Ctrl Pts',(1.4632690670624,-1.9676274174792,0.597907270519234)); +#2722=CARTESIAN_POINT('Ctrl Pts',(1.46426662295033,-1.96520534832977,0.60534329671245)); +#2723=CARTESIAN_POINT('Ctrl Pts',(1.4650814164734,-1.96234417957993,0.612648367485869)); +#2724=CARTESIAN_POINT('Ctrl Pts',(1.4657192656134,-1.95909470782119,0.619738860834415)); +#2725=CARTESIAN_POINT('Ctrl Pts',(1.46653636086945,-1.95493208096761,0.628821899620177)); +#2726=CARTESIAN_POINT('Ctrl Pts',(1.46706563897802,-1.95010846029841,0.637550698807756)); +#2727=CARTESIAN_POINT('Ctrl Pts',(1.46732129766744,-1.94469440172221,0.645864464509783)); +#2728=CARTESIAN_POINT('Ctrl Pts',(1.46744051093345,-1.94216983427366,0.649741161071308)); +#2729=CARTESIAN_POINT('Ctrl Pts',(1.46750000000298,-1.93951616972784,0.653527777029254)); +#2730=CARTESIAN_POINT('Ctrl Pts',(1.46750000000004,-1.93673692342043,0.657218262922108)); +#2731=CARTESIAN_POINT('Ctrl Pts',(1.46734665564324,-1.9361699363762,0.673627571512113)); +#2732=CARTESIAN_POINT('Ctrl Pts',(1.46734588601435,-1.8990400602186,0.641804818232676)); +#2733=CARTESIAN_POINT('Ctrl Pts',(1.46734511638546,-1.861910184061,0.609982064953241)); +#2734=CARTESIAN_POINT('Ctrl Pts',(1.46734434675657,-1.8247803079034,0.578159311673805)); +#2735=CARTESIAN_POINT('Ctrl Pts',(1.46744895635279,-1.9390973527498,0.670229747508942)); +#2736=CARTESIAN_POINT('Ctrl Pts',(1.46744872859429,-1.90129871208056,0.639186809982546)); +#2737=CARTESIAN_POINT('Ctrl Pts',(1.46744850083579,-1.86350007141132,0.608143872455897)); +#2738=CARTESIAN_POINT('Ctrl Pts',(1.46744827307755,-1.82570143074205,0.577100934929247)); +#2739=CARTESIAN_POINT('Ctrl Pts',(1.46776925575714,-1.95676358479225,0.648285831163182)); +#2740=CARTESIAN_POINT('Ctrl Pts',(1.46777045718476,-1.91491846681575,0.622279315749343)); +#2741=CARTESIAN_POINT('Ctrl Pts',(1.46777165861264,-1.87307334883922,0.596272800335756)); +#2742=CARTESIAN_POINT('Ctrl Pts',(1.46777286004026,-1.8312282308627,0.570266284921917)); +#2743=CARTESIAN_POINT('Ctrl Pts',(1.46465934630108,-1.98085522331817,0.60158941708147)); +#2744=CARTESIAN_POINT('Ctrl Pts',(1.46464667121315,-1.93340032952147,0.586301950459106)); +#2745=CARTESIAN_POINT('Ctrl Pts',(1.46463399612521,-1.88594543572477,0.571014483836743)); +#2746=CARTESIAN_POINT('Ctrl Pts',(1.46462132103702,-1.83849054192807,0.555727017214378)); +#2747=CARTESIAN_POINT('Ctrl Pts',(1.4554556385066,-1.98811211001705,0.552077617318882)); +#2748=CARTESIAN_POINT('Ctrl Pts',(1.45545685677671,-1.93907199111249,0.548152763061927)); +#2749=CARTESIAN_POINT('Ctrl Pts',(1.45545807504707,-1.89003187220794,0.544227908804971)); +#2750=CARTESIAN_POINT('Ctrl Pts',(1.45545929331717,-1.84099175330336,0.540303054547761)); +#2751=CARTESIAN_POINT('Ctrl Pts',(1.44862128584132,-1.98735345031989,0.526776703676462)); +#2752=CARTESIAN_POINT('Ctrl Pts',(1.44862105165231,-1.93847905625714,0.528659040051229)); +#2753=CARTESIAN_POINT('Ctrl Pts',(1.44862081746355,-1.88960466219439,0.530541376425995)); +#2754=CARTESIAN_POINT('Ctrl Pts',(1.44862058327453,-1.84073026813164,0.532423712800761)); +#2755=CARTESIAN_POINT('Ctrl Pts',(1.44747251976523,-1.98711261129728,0.522752141638226)); +#2756=CARTESIAN_POINT('Ctrl Pts',(1.44747172716204,-1.93829026410635,0.525558246279753)); +#2757=CARTESIAN_POINT('Ctrl Pts',(1.44747093455885,-1.88946791691543,0.528364350921025)); +#2758=CARTESIAN_POINT('Ctrl Pts',(1.44747014195566,-1.8406455697245,0.53117045556255)); +#2759=CARTESIAN_POINT('',(1.45077762689641,-1.89104249441663,0.534977811305682)); +#2760=CARTESIAN_POINT('',(1.45077762689643,-1.97761713887335,0.53497781130571)); +#2761=CARTESIAN_POINT('',(1.4675,-1.83528691039211,0.580817869281748)); +#2762=CARTESIAN_POINT('',(1.45077762689643,-1.97761713887335,0.53497781130571)); +#2763=CARTESIAN_POINT('Origin',(1.2897,-1.91411713887335,0.610256215336613)); +#2764=CARTESIAN_POINT('',(1.12862237310356,-1.89104249441959,0.53497781130572)); +#2765=CARTESIAN_POINT('',(1.12862237310357,-1.85061713887335,0.53497781130571)); +#2766=CARTESIAN_POINT('',(1.12862237310357,-1.85061713887335,0.53497781130571)); +#2767=CARTESIAN_POINT('Ctrl Pts',(1.1318449111736,-1.98712973344175,0.523041789885192)); +#2768=CARTESIAN_POINT('Ctrl Pts',(1.13184566415888,-1.93830368566099,0.525781410854758)); +#2769=CARTESIAN_POINT('Ctrl Pts',(1.13184641714417,-1.88947763788026,0.528521031824325)); +#2770=CARTESIAN_POINT('Ctrl Pts',(1.13184717012971,-1.84065159009951,0.531260652793891)); +#2771=CARTESIAN_POINT('Ctrl Pts',(1.13072588736796,-1.98735924626469,0.52697239511947)); +#2772=CARTESIAN_POINT('Ctrl Pts',(1.13072611045896,-1.9384835862112,0.52880981543751)); +#2773=CARTESIAN_POINT('Ctrl Pts',(1.1307263335497,-1.88960792615774,0.53064723575555)); +#2774=CARTESIAN_POINT('Ctrl Pts',(1.13072655664069,-1.84073226610425,0.532484656073337)); +#2775=CARTESIAN_POINT('Ctrl Pts',(1.12392500670305,-1.98809684838948,0.552181737297332)); +#2776=CARTESIAN_POINT('Ctrl Pts',(1.12392381764955,-1.93906006339992,0.548232988239551)); +#2777=CARTESIAN_POINT('Ctrl Pts',(1.1239226285963,-1.89002327841037,0.544284239181514)); +#2778=CARTESIAN_POINT('Ctrl Pts',(1.12392143954305,-1.84098649342081,0.540335490123732)); +#2779=CARTESIAN_POINT('Ctrl Pts',(1.11474065369892,-1.98085522247281,0.601589416809182)); +#2780=CARTESIAN_POINT('Ctrl Pts',(1.11475332878685,-1.93340032895787,0.586301950277497)); +#2781=CARTESIAN_POINT('Ctrl Pts',(1.11476600387504,-1.88594543544295,0.571014483746064)); +#2782=CARTESIAN_POINT('Ctrl Pts',(1.11477867896298,-1.83849054192801,0.555727017214378)); +#2783=CARTESIAN_POINT('Ctrl Pts',(1.11163069196229,-1.95676317904394,0.648286615710254)); +#2784=CARTESIAN_POINT('Ctrl Pts',(1.11162949030124,-1.91491815562145,0.622279920253594)); +#2785=CARTESIAN_POINT('Ctrl Pts',(1.1116282886402,-1.87307313219894,0.596273224797188)); +#2786=CARTESIAN_POINT('Ctrl Pts',(1.11162708697915,-1.83122810877646,0.570266529340528)); +#2787=CARTESIAN_POINT('Ctrl Pts',(1.11195106347115,-1.93909625878547,0.670231104966731)); +#2788=CARTESIAN_POINT('Ctrl Pts',(1.11195129131804,-1.90129786876058,0.639187855942862)); +#2789=CARTESIAN_POINT('Ctrl Pts',(1.11195151916493,-1.86349947873569,0.608144606918995)); +#2790=CARTESIAN_POINT('Ctrl Pts',(1.11195174701182,-1.82570108871081,0.577101357895127)); +#2791=CARTESIAN_POINT('Ctrl Pts',(1.11205340395253,-1.93616823048258,0.673629550165763)); +#2792=CARTESIAN_POINT('Ctrl Pts',(1.11205417389714,-1.89903874410338,0.641806342835418)); +#2793=CARTESIAN_POINT('Ctrl Pts',(1.11205494384175,-1.86190925772417,0.609983135505074)); +#2794=CARTESIAN_POINT('Ctrl Pts',(1.11205571378636,-1.82477977134496,0.578159928174731)); +#2795=CARTESIAN_POINT('',(1.1119,-1.83528691039214,0.580817869281748)); +#2796=CARTESIAN_POINT('Ctrl Pts',(1.12862237310354,-1.85061713887335,0.534977811305684)); +#2797=CARTESIAN_POINT('Ctrl Pts',(1.12583871018308,-1.85061713887335,0.538869548048482)); +#2798=CARTESIAN_POINT('Ctrl Pts',(1.12333938382088,-1.85031937610539,0.542939840845393)); +#2799=CARTESIAN_POINT('Ctrl Pts',(1.11906335879777,-1.84898643565605,0.551183995438567)); +#2800=CARTESIAN_POINT('Ctrl Pts',(1.11728090515558,-1.8479835266345,0.555313284847808)); +#2801=CARTESIAN_POINT('Ctrl Pts',(1.11455745333249,-1.84531105910867,0.563192898501807)); +#2802=CARTESIAN_POINT('Ctrl Pts',(1.11356323603592,-1.84372569200076,0.566908673625698)); +#2803=CARTESIAN_POINT('Ctrl Pts',(1.11223560110995,-1.83992833894036,0.574106066609469)); +#2804=CARTESIAN_POINT('Ctrl Pts',(1.11190000000003,-1.83774806632453,0.577549766973709)); +#2805=CARTESIAN_POINT('Ctrl Pts',(1.1119000000001,-1.83528691039219,0.580817869281792)); +#2806=CARTESIAN_POINT('',(1.11190003136854,-1.93673652956793,0.657217965938103)); +#2807=CARTESIAN_POINT('',(1.11190009410573,-1.93673652956219,0.657217965945817)); +#2808=CARTESIAN_POINT('Ctrl Pts',(1.11189999999997,-1.93673652956797,0.657217965938135)); +#2809=CARTESIAN_POINT('Ctrl Pts',(1.11189999999921,-1.94269645701764,0.649303939698401)); +#2810=CARTESIAN_POINT('Ctrl Pts',(1.11217251405546,-1.94808196911834,0.640948363324734)); +#2811=CARTESIAN_POINT('Ctrl Pts',(1.1127241243353,-1.95284551126776,0.632210054617997)); +#2812=CARTESIAN_POINT('Ctrl Pts',(1.11298148662609,-1.95506801538829,0.628133061805079)); +#2813=CARTESIAN_POINT('Ctrl Pts',(1.11329983280206,-1.9571544430882,0.623972711013481)); +#2814=CARTESIAN_POINT('Ctrl Pts',(1.1136810383604,-1.95909625819838,0.619735482785907)); +#2815=CARTESIAN_POINT('Ctrl Pts',(1.11431885645326,-1.96234522659135,0.612645919771885)); +#2816=CARTESIAN_POINT('Ctrl Pts',(1.11513355648559,-1.96520585761062,0.605341985530365)); +#2817=CARTESIAN_POINT('Ctrl Pts',(1.11613093292943,-1.96762747644914,0.597907289709352)); +#2818=CARTESIAN_POINT('Ctrl Pts',(1.11700730102232,-1.96975528836644,0.591374620687388)); +#2819=CARTESIAN_POINT('Ctrl Pts',(1.11802467873384,-1.97154400637841,0.584741219181867)); +#2820=CARTESIAN_POINT('Ctrl Pts',(1.11918309398473,-1.97299584174118,0.578067703405312)); +#2821=CARTESIAN_POINT('Ctrl Pts',(1.1208240539454,-1.97505244769312,0.568614295244602)); +#2822=CARTESIAN_POINT('Ctrl Pts',(1.1227451522097,-1.97640786779938,0.559079282428666)); +#2823=CARTESIAN_POINT('Ctrl Pts',(1.12497300464824,-1.97709334688735,0.54954127087701)); +#2824=CARTESIAN_POINT('Ctrl Pts',(1.12610776241321,-1.97744249600707,0.544683079875023)); +#2825=CARTESIAN_POINT('Ctrl Pts',(1.12732241239122,-1.97761713887335,0.539824283992918)); +#2826=CARTESIAN_POINT('Ctrl Pts',(1.12862237310343,-1.97761713887335,0.534977811305673)); +#2827=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887322,0.53497781130571)); +#2828=CARTESIAN_POINT('',(1.11530675328879,-1.89385509665861,0.699370068544532)); +#2829=CARTESIAN_POINT('Ctrl Pts',(1.11530670742188,-1.89385509247385,0.699370071585036)); +#2830=CARTESIAN_POINT('Ctrl Pts',(1.11417634827829,-1.90196387030828,0.69347870036658)); +#2831=CARTESIAN_POINT('Ctrl Pts',(1.11332422834968,-1.909646328141,0.686980143421972)); +#2832=CARTESIAN_POINT('Ctrl Pts',(1.11218344597004,-1.92401257876977,0.672864924705827)); +#2833=CARTESIAN_POINT('Ctrl Pts',(1.11189690782198,-1.93066881828368,0.665275115420848)); +#2834=CARTESIAN_POINT('Ctrl Pts',(1.11189999999992,-1.93673652957371,0.657217965930416)); +#2835=CARTESIAN_POINT('',(0.8833,-1.89385510213921,0.69937006456271)); +#2836=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887312,0.53497781130571)); +#2837=CARTESIAN_POINT('',(1.11530670607431,-1.81920637509815,0.596624906276958)); +#2838=CARTESIAN_POINT('',(1.11530670607431,-1.81920637509813,0.596624906277213)); +#2839=CARTESIAN_POINT('Ctrl Pts',(1.11189999999996,-1.83528691039219,0.580817869281792)); +#2840=CARTESIAN_POINT('Ctrl Pts',(1.11189977691747,-1.83299677154719,0.58385888263119)); +#2841=CARTESIAN_POINT('Ctrl Pts',(1.11218704457715,-1.83049045365137,0.586711703294685)); +#2842=CARTESIAN_POINT('Ctrl Pts',(1.11332850370392,-1.82507937010185,0.5920248283252)); +#2843=CARTESIAN_POINT('Ctrl Pts',(1.11418501588534,-1.82219701414984,0.594452079820125)); +#2844=CARTESIAN_POINT('Ctrl Pts',(1.11530670607435,-1.81920637509823,0.596624906277052)); +#2845=CARTESIAN_POINT('Ctrl Pts',(1.11211838768972,-1.95363126897922,0.650267016194963)); +#2846=CARTESIAN_POINT('Ctrl Pts',(1.11211606356178,-1.91249759011649,0.623796465728245)); +#2847=CARTESIAN_POINT('Ctrl Pts',(1.11211373943358,-1.87136391125379,0.597325915261781)); +#2848=CARTESIAN_POINT('Ctrl Pts',(1.11211141530564,-1.83023023239108,0.570855364795063)); +#2849=CARTESIAN_POINT('Ctrl Pts',(1.11197475226756,-1.95078476046877,0.654668499302825)); +#2850=CARTESIAN_POINT('Ctrl Pts',(1.11197328836092,-1.91030557301384,0.627191359695365)); +#2851=CARTESIAN_POINT('Ctrl Pts',(1.11197182445401,-1.86982638555889,0.599714220087904)); +#2852=CARTESIAN_POINT('Ctrl Pts',(1.11197036054737,-1.82934719810394,0.572237080480699)); +#2853=CARTESIAN_POINT('Ctrl Pts',(1.11160699974526,-1.93565532711934,0.676297044201351)); +#2854=CARTESIAN_POINT('Ctrl Pts',(1.11161014342528,-1.89864731157575,0.643870633663903)); +#2855=CARTESIAN_POINT('Ctrl Pts',(1.1116132871053,-1.86163929603214,0.611444223126454)); +#2856=CARTESIAN_POINT('Ctrl Pts',(1.11161643078533,-1.82463128048855,0.57901781258926)); +#2857=CARTESIAN_POINT('Ctrl Pts',(1.11332566896933,-1.9129714209292,0.69859524604885)); +#2858=CARTESIAN_POINT('Ctrl Pts',(1.11332319885057,-1.88115243792798,0.661054977516381)); +#2859=CARTESIAN_POINT('Ctrl Pts',(1.11332072873207,-1.84933345492678,0.623514708984164)); +#2860=CARTESIAN_POINT('Ctrl Pts',(1.11331825861331,-1.81751447192558,0.585974440451695)); +#2861=CARTESIAN_POINT('Ctrl Pts',(1.11645973067859,-1.89136246929289,0.713157167851034)); +#2862=CARTESIAN_POINT('Ctrl Pts',(1.11646079295815,-1.8645296683033,0.672253967583684)); +#2863=CARTESIAN_POINT('Ctrl Pts',(1.11646185523797,-1.83769686731372,0.631350767316081)); +#2864=CARTESIAN_POINT('Ctrl Pts',(1.11646291751753,-1.8108640663241,0.59044756704873)); +#2865=CARTESIAN_POINT('Ctrl Pts',(1.11713008767823,-1.88707747224979,0.715826626501947)); +#2866=CARTESIAN_POINT('Ctrl Pts',(1.11713284816852,-1.86123504463762,0.67430533610324)); +#2867=CARTESIAN_POINT('Ctrl Pts',(1.11713560865881,-1.83539261702545,0.632784045704534)); +#2868=CARTESIAN_POINT('Ctrl Pts',(1.11713836914884,-1.80955018941328,0.591262755306083)); +#2869=CARTESIAN_POINT('',(1.11530674914034,-1.84253597253531,0.628735342401558)); +#2870=CARTESIAN_POINT('',(1.11530684387464,-1.89385510213921,0.69937006456271)); +#2871=CARTESIAN_POINT('',(1.11530684387464,-1.89385510213921,0.69937006456271)); +#2872=CARTESIAN_POINT('Origin',(1.2897,-1.88455379613736,0.62763754236764)); +#2873=CARTESIAN_POINT('',(1.15583843931472,-1.75255742681531,0.645048201651155)); +#2874=CARTESIAN_POINT('',(1.42356156068528,-1.75255742681531,0.645048201651155)); +#2875=CARTESIAN_POINT('Origin',(1.2897,-1.75255742681531,0.445960126064954)); +#2876=CARTESIAN_POINT('Origin',(1.2897,-1.8472294326169,0.576264963225019)); +#2877=CARTESIAN_POINT('',(1.46409333780818,-1.84253614084309,0.628735574057438)); +#2878=CARTESIAN_POINT('',(1.46409329392569,-1.81920637509813,0.596624906277213)); +#2879=CARTESIAN_POINT('',(1.46409329392569,-1.81920637509813,0.596624906277213)); +#2880=CARTESIAN_POINT('Origin',(1.2897,-1.92187815965796,0.679010121510517)); +#2881=CARTESIAN_POINT('Origin',(1.2897,-1.8472294326169,0.576264963225019)); +#2882=CARTESIAN_POINT('Origin',(0.0196999999999997,-1.75255742681531,2.75394257318468)); +#2883=CARTESIAN_POINT('',(-2.3933,-1.75255742681532,0.213942573184685)); +#2884=CARTESIAN_POINT('',(2.4327,-1.75255742681531,0.213942573184685)); +#2885=CARTESIAN_POINT('',(-2.3933,-1.75255742681532,0.213942573184685)); +#2886=CARTESIAN_POINT('',(2.4327,-1.75255742681531,5.29394257318469)); +#2887=CARTESIAN_POINT('',(2.4327,-1.75255742681531,5.29394257318469)); +#2888=CARTESIAN_POINT('',(-2.3933,-1.75255742681531,5.29394257318469)); +#2889=CARTESIAN_POINT('',(-2.3933,-1.75255742681531,5.29394257318469)); +#2890=CARTESIAN_POINT('',(-2.3933,-1.75255742681531,5.29394257318469)); +#2891=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); +#2892=CARTESIAN_POINT('',(1.42356156068528,-1.75255742681531,0.645048201651408)); +#2893=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); +#2894=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); +#2895=CARTESIAN_POINT('',(-1.11643843931472,-1.75255742681532,0.645048201651155)); +#2896=CARTESIAN_POINT('',(-1.11643843931472,-1.75255742681532,0.645048201651408)); +#2897=CARTESIAN_POINT('',(-1.38416156068528,-1.75255742681532,0.645048201651408)); +#2898=CARTESIAN_POINT('Origin',(-1.2503,-1.75255742681532,0.445960126064954)); +#2899=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); +#2900=CARTESIAN_POINT('Origin',(0.0196999999999992,0.0127425731846859,0.213942573184684)); +#2901=CARTESIAN_POINT('',(-2.3933,1.77804257318468,0.213942573184684)); +#2902=CARTESIAN_POINT('',(2.4327,1.77804257318469,0.213942573184684)); +#2903=CARTESIAN_POINT('',(-2.3933,1.77804257318468,0.213942573184684)); +#2904=CARTESIAN_POINT('',(2.4327,-1.75255742681531,0.213942573184685)); +#2905=CARTESIAN_POINT('',(-2.3933,1.77804257318468,0.213942573184684)); +#2906=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77804257318469,2.75394257318468)); +#2907=CARTESIAN_POINT('',(-2.3933,1.77804257318469,5.29394257318468)); +#2908=CARTESIAN_POINT('',(-2.3933,1.77804257318469,5.29394257318468)); +#2909=CARTESIAN_POINT('',(2.4327,1.77804257318469,5.29394257318468)); +#2910=CARTESIAN_POINT('',(2.4327,1.77804257318469,5.29394257318468)); +#2911=CARTESIAN_POINT('',(2.4327,1.77804257318469,0.213942573184684)); +#2912=CARTESIAN_POINT('',(0.301556914327028,1.77805178010505,0.70921031080281)); +#2913=CARTESIAN_POINT('',(0.302469463427897,1.77804257272811,0.58224257321825)); +#2914=CARTESIAN_POINT('Ctrl Pts',(0.301554761209689,1.77804257318469,0.709210304835975)); +#2915=CARTESIAN_POINT('Ctrl Pts',(0.301611956721688,1.77804257318469,0.688571446676627)); +#2916=CARTESIAN_POINT('Ctrl Pts',(0.301694427738886,1.77804257323418,0.665010105946675)); +#2917=CARTESIAN_POINT('Ctrl Pts',(0.301923199230015,1.77804257323418,0.626431838787887)); +#2918=CARTESIAN_POINT('Ctrl Pts',(0.30210973366413,1.77804257318469,0.601466320893973)); +#2919=CARTESIAN_POINT('Ctrl Pts',(0.302469464099654,1.77804257318469,0.582242573230813)); +#2920=CARTESIAN_POINT('',(-0.263069463414501,1.77804257271975,0.582242573218864)); +#2921=CARTESIAN_POINT('',(0.0197001144537962,1.77804257318469,0.582242573184683)); +#2922=CARTESIAN_POINT('',(-0.262156000953228,1.77804787539649,0.709210416991327)); +#2923=CARTESIAN_POINT('Ctrl Pts',(-0.263069464098558,1.77804257318469,0.582242573231664)); +#2924=CARTESIAN_POINT('Ctrl Pts',(-0.262706953061755,1.77804257318469,0.601614914333065)); +#2925=CARTESIAN_POINT('Ctrl Pts',(-0.262519623813836,1.77804257322631,0.626889273698389)); +#2926=CARTESIAN_POINT('Ctrl Pts',(-0.262292999198951,1.77804257322631,0.66542865154669)); +#2927=CARTESIAN_POINT('Ctrl Pts',(-0.262211510203278,1.77804257318469,0.688732549990208)); +#2928=CARTESIAN_POINT('Ctrl Pts',(-0.262154760907952,1.77804257318469,0.70921041355485)); +#2929=CARTESIAN_POINT('',(-0.262259156427888,1.77804257318469,0.709210560312972)); +#2930=CARTESIAN_POINT('',(-0.488300000000001,1.77804257318468,0.582157164385982)); +#2931=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318468,0.582157164385982)); +#2932=CARTESIAN_POINT('',(-0.488300000000001,1.77804257318468,0.226642573184684)); +#2933=CARTESIAN_POINT('',(-0.488300000000001,1.77804257318468,0.226642573184684)); +#2934=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.226642573184684)); +#2935=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.226642573184684)); +#2936=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.582157164385982)); +#2937=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.582157164385982)); +#2938=CARTESIAN_POINT('',(0.654699999999999,1.77804257318469,0.582157164385982)); +#2939=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.582157164385982)); +#2940=CARTESIAN_POINT('',(0.654699999999999,1.77804257318469,0.709210560312972)); +#2941=CARTESIAN_POINT('',(0.654699999999999,1.77804257318469,0.709210560312972)); +#2942=CARTESIAN_POINT('',(0.0196999999999992,1.77804257318469,0.709210560312895)); +#2943=CARTESIAN_POINT('Origin',(2.4327,0.0127425731846859,2.75394257318468)); +#2944=CARTESIAN_POINT('',(2.4327,1.77804257318469,5.29394257318468)); +#2945=CARTESIAN_POINT('Origin',(0.0196999999999992,0.012742573184687,5.29394257318468)); +#2946=CARTESIAN_POINT('',(-2.3933,1.77804257318469,5.29394257318468)); +#2947=CARTESIAN_POINT('',(1.16246386572652,1.47163869805107,5.29394257318468)); +#2948=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.29394257318468)); +#2949=CARTESIAN_POINT('Origin',(-2.3933,0.0127425731846853,2.75394257318468)); +#2950=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.88754257318468)); +#2951=CARTESIAN_POINT('',(1.16246386572652,1.47163869805107,4.55717568750804)); +#2952=CARTESIAN_POINT('',(1.16246386572642,1.47163869805092,4.88754257318468)); +#2953=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.55717568750804)); +#2954=CARTESIAN_POINT('Origin',(2.11978935611032,-1.75255742681531,4.55717568750804)); +#2955=CARTESIAN_POINT('',(1.97399636706239,0.708288949066039,4.55717568750804)); +#2956=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.55717568750804)); +#2957=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.86214257318468)); +#2958=CARTESIAN_POINT('',(1.97399636706234,0.708288949065989,5.16694442741031)); +#2959=CARTESIAN_POINT('',(1.97399636706234,0.708288949065989,4.86214257318468)); +#2960=CARTESIAN_POINT('',(1.49290000022413,-0.0484859416824329,5.16694257424254)); +#2961=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.16694257318503)); +#2962=CARTESIAN_POINT('',(1.49290000026836,-0.0484859418583156,4.73514257318468)); +#2963=CARTESIAN_POINT('',(1.4929,-0.048485942252518,4.86214257318468)); +#2964=CARTESIAN_POINT('',(0.934099999511573,-0.0484859415350419,4.73514257318468)); +#2965=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.73514257318468)); +#2966=CARTESIAN_POINT('',(0.934095783631593,-0.048482948645388,5.16694442741032)); +#2967=CARTESIAN_POINT('',(0.934099999999999,-0.0484859422525191,4.86214257318468)); +#2968=CARTESIAN_POINT('',(0.934099324158085,1.36936917224735,5.16694404039554)); +#2969=CARTESIAN_POINT('Origin',(1.2135,0.66044257318494,5.16694394311565)); +#2970=CARTESIAN_POINT('',(0.934099466913813,1.3693706949001,4.73514257318473)); +#2971=CARTESIAN_POINT('',(0.934098970198655,1.36937049920967,4.73514257318468)); +#2972=CARTESIAN_POINT('',(1.49290053304314,1.36937069496349,4.73514257318468)); +#2973=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.73514257318468)); +#2974=CARTESIAN_POINT('',(1.4929006604615,1.36936916335702,5.16694440450027)); +#2975=CARTESIAN_POINT('',(1.49290111265183,1.36936969370455,5.16694387189202)); +#2976=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.16694257318503)); +#2977=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.20504431098334)); +#2978=CARTESIAN_POINT('',(0.934095874633337,0.0341442106735662,5.24314460776092)); +#2979=CARTESIAN_POINT('',(0.934095872561777,1.28674093365568,5.24314460816454)); +#2980=CARTESIAN_POINT('Origin',(1.2135,0.660442573184433,5.24314471712045)); +#2981=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,1.28674269532689,5.24314653718722)); +#2982=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,1.32588155256259,5.20740315317794)); +#2983=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,1.36936932968575,5.16694420962004)); +#2984=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,-0.04848341287438,5.16694492639259)); +#2985=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,-0.00499606134972408, +5.20740346808365)); +#2986=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,0.034142449732994,5.24314653598363)); +#2987=CARTESIAN_POINT('Origin',(0.730900577706997,0.66044257318494,5.24314257318468)); +#2988=CARTESIAN_POINT('',(0.934099999999999,0.660442643167783,5.24314257318468)); +#2989=CARTESIAN_POINT('Origin',(0.934099999999999,0.660442713150625,4.98914321494159)); +#2990=CARTESIAN_POINT('',(0.934099999999999,0.660442643167655,4.73514257318468)); +#2991=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.73514257318468)); +#2992=CARTESIAN_POINT('',(1.4929,0.660442503170095,4.73514257318468)); +#2993=CARTESIAN_POINT('Origin',(1.4929,0.660442433155503,4.98914321486311)); +#2994=CARTESIAN_POINT('',(1.49290418099728,0.0341442658270025,5.24314461863498)); +#2995=CARTESIAN_POINT('Ctrl Pts',(1.4929,-0.0484859414171518,5.16694257395737)); +#2996=CARTESIAN_POINT('Ctrl Pts',(1.4929,-0.00499717583210435,5.20740245062384)); +#2997=CARTESIAN_POINT('Ctrl Pts',(1.4929,0.0341424852201638,5.24314656839213)); +#2998=CARTESIAN_POINT('',(1.49290116396668,1.28674361764721,5.24314323299126)); +#2999=CARTESIAN_POINT('',(1.4929,0.660442503170222,5.24314257318468)); +#3000=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.28674342680752,5.2431430240227)); +#3001=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.28925664923318,5.24084783389791)); +#3002=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.29177631596771,5.23854462712003)); +#3003=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.29430230819208,5.23623347270035)); +#3004=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.30076714799089,5.23031847306994)); +#3005=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.30727341317587,5.2243514245524)); +#3006=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.31381905391895,5.21833355616073)); +#3007=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.32292202944233,5.20996454985218)); +#3008=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.33210115833829,5.20149725656066)); +#3009=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.34135896778555,5.19293223276499)); +#3010=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.35061659702173,5.18436737569475)); +#3011=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.35995290374607,5.17570479192514)); +#3012=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.36936914380855,5.16694438348834)); +#3013=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.2050446296619)); +#3014=CARTESIAN_POINT('Origin',(1.2135,0.660442573184433,5.24314471724618)); +#3015=CARTESIAN_POINT('Origin',(1.696099422293,0.66044257318494,5.24314257318468)); +#3016=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.77142304818906,0.572414219311332)); +#3017=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.76920488133509,0.621281402965643)); +#3018=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.76698671447857,0.670148586619955)); +#3019=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.76476854762205,0.719015770274267)); +#3020=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.77281581220059,0.572482509218693)); +#3021=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.77162309007499,0.621399972406939)); +#3022=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.77043036794939,0.670317435595185)); +#3023=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.76923764582379,0.719234898783431)); +#3024=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.78838879561132,0.572710785090055)); +#3025=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.79866193104165,0.62179632003182)); +#3026=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.80893506647451,0.67088185497333)); +#3027=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.81920820190737,0.719967389915094)); +#3028=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.80587914280988,0.566625805431236)); +#3029=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.82902982548734,0.611231176991968)); +#3030=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.85218050816481,0.655836548552446)); +#3031=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.87533119084227,0.700441920112923)); +#3032=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.81815532261359,0.556094923771679)); +#3033=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.85034453858301,0.592946765652812)); +#3034=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.88253375455243,0.629798607533944)); +#3035=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.91472297052185,0.666650449415076)); +#3036=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.81920077037536,0.555132969310274)); +#3037=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.85215971394427,0.59127655686776)); +#3038=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.88511865751317,0.627420144425245)); +#3039=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.91807760108207,0.663563731982986)); +#3040=CARTESIAN_POINT('',(0.311799999999999,1.90145717899659,0.664648381607434)); +#3041=CARTESIAN_POINT('Ctrl Pts',(0.311800000000014,1.90145717899659,0.664648381607434)); +#3042=CARTESIAN_POINT('Ctrl Pts',(0.311799999999979,1.89193463749355,0.672274625693786)); +#3043=CARTESIAN_POINT('Ctrl Pts',(0.311546698201104,1.88172942150408,0.679034930096661)); +#3044=CARTESIAN_POINT('Ctrl Pts',(0.311040450659568,1.87100325259298,0.684829594610288)); +#3045=CARTESIAN_POINT('Ctrl Pts',(0.310929567939498,1.86865391420176,0.686098792207212)); +#3046=CARTESIAN_POINT('Ctrl Pts',(0.310806549351881,1.86627974355733,0.687321545820016)); +#3047=CARTESIAN_POINT('Ctrl Pts',(0.310671396691178,1.86388272373629,0.68849663051699)); +#3048=CARTESIAN_POINT('Ctrl Pts',(0.309919189342693,1.85054184006841,0.695036696687749)); +#3049=CARTESIAN_POINT('Ctrl Pts',(0.308791676677494,1.83648333247626,0.700105328990801)); +#3050=CARTESIAN_POINT('Ctrl Pts',(0.30727901434653,1.82206263171389,0.703582246026974)); +#3051=CARTESIAN_POINT('Ctrl Pts',(0.305992905189713,1.80980173586255,0.706538421206445)); +#3052=CARTESIAN_POINT('Ctrl Pts',(0.304428161452842,1.79728146911781,0.70834376600018)); +#3053=CARTESIAN_POINT('Ctrl Pts',(0.30256909540292,1.78471475582652,0.708981959368142)); +#3054=CARTESIAN_POINT('Ctrl Pts',(0.302241613624002,1.78250107997355,0.709094379633922)); +#3055=CARTESIAN_POINT('Ctrl Pts',(0.301904977351718,1.7802858729428,0.709170490624915)); +#3056=CARTESIAN_POINT('Ctrl Pts',(0.30155907304425,1.77807019394578,0.709210066512411)); +#3057=CARTESIAN_POINT('',(0.311799999999999,1.83546147721888,0.582242573184684)); +#3058=CARTESIAN_POINT('',(0.311799999999999,1.83546147721888,0.582242573184684)); +#3059=CARTESIAN_POINT('Ctrl Pts',(0.311800000000013,1.83546147721888,0.582242573184684)); +#3060=CARTESIAN_POINT('Ctrl Pts',(0.311800000000034,1.82571404540946,0.582242573184684)); +#3061=CARTESIAN_POINT('Ctrl Pts',(0.311373141764323,1.81565768198619,0.582242573185011)); +#3062=CARTESIAN_POINT('Ctrl Pts',(0.308457327272974,1.7961621481662,0.582242573185011)); +#3063=CARTESIAN_POINT('Ctrl Pts',(0.306082196817724,1.78718083903984,0.582242573184684)); +#3064=CARTESIAN_POINT('Ctrl Pts',(0.302469463853496,1.77804257255986,0.582242573184684)); +#3065=CARTESIAN_POINT('Origin',(0.0197002289075932,1.81433917318469,0.582242573184684)); +#3066=CARTESIAN_POINT('',(0.311516980244983,1.85063577318469,0.582242573184684)); +#3067=CARTESIAN_POINT('Ctrl Pts',(0.311516980245038,1.85063577318469,0.582242573184682)); +#3068=CARTESIAN_POINT('Ctrl Pts',(0.311574745185713,1.84892273923967,0.58224257318468)); +#3069=CARTESIAN_POINT('Ctrl Pts',(0.311625321433442,1.84721877660554,0.582242572747131)); +#3070=CARTESIAN_POINT('Ctrl Pts',(0.3116671770945,1.845524380796,0.58224257289534)); +#3071=CARTESIAN_POINT('Ctrl Pts',(0.311709032755556,1.84382998498646,0.582242573043549)); +#3072=CARTESIAN_POINT('Ctrl Pts',(0.311742175751122,1.84214515643548,0.582242573336497)); +#3073=CARTESIAN_POINT('Ctrl Pts',(0.311764919917542,1.84046819504987,0.582242573296152)); +#3074=CARTESIAN_POINT('Ctrl Pts',(0.311787664083962,1.83879123366426,0.582242573255807)); +#3075=CARTESIAN_POINT('Ctrl Pts',(0.311800000000038,1.83712213911219,0.582242573184684)); +#3076=CARTESIAN_POINT('Ctrl Pts',(0.311800000000015,1.83546147721888,0.582242573184684)); +#3077=CARTESIAN_POINT('',(-0.272116980244896,1.85063577318469,0.582242573184684)); +#3078=CARTESIAN_POINT('',(-0.272116980244896,1.85063577318469,0.582242573184684)); +#3079=CARTESIAN_POINT('',(-0.272400000000001,1.83546147721888,0.582242573184938)); +#3080=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000001,1.83546147721888,0.582242573184938)); +#3081=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000002,1.83712553566623,0.582242573184938)); +#3082=CARTESIAN_POINT('Ctrl Pts',(-0.272387614786349,1.83879689149164,0.582242573243016)); +#3083=CARTESIAN_POINT('Ctrl Pts',(-0.272364828967385,1.84047489687058,0.58224257327695)); +#3084=CARTESIAN_POINT('Ctrl Pts',(-0.272342043148422,1.84215290224952,0.582242573310883)); +#3085=CARTESIAN_POINT('Ctrl Pts',(-0.272308867129476,1.84383755750827,0.582242573023979)); +#3086=CARTESIAN_POINT('Ctrl Pts',(-0.272267018265175,1.84553080883119,0.582242572873749)); +#3087=CARTESIAN_POINT('Ctrl Pts',(-0.272225169400873,1.84722406015411,0.582242572723519)); +#3088=CARTESIAN_POINT('Ctrl Pts',(-0.272174638363628,1.84892590707179,0.582242573184683)); +#3089=CARTESIAN_POINT('Ctrl Pts',(-0.272116980244903,1.85063577318469,0.582242573184683)); +#3090=CARTESIAN_POINT('Ctrl Pts',(-0.263069463847893,1.77804257254841,0.582242573184684)); +#3091=CARTESIAN_POINT('Ctrl Pts',(-0.266673005669242,1.78715759038827,0.582242573184684)); +#3092=CARTESIAN_POINT('Ctrl Pts',(-0.269045698308777,1.79611748741736,0.582242573185502)); +#3093=CARTESIAN_POINT('Ctrl Pts',(-0.271971158049023,1.81561102475388,0.582242573185502)); +#3094=CARTESIAN_POINT('Ctrl Pts',(-0.27240000000005,1.82569142312062,0.582242573184684)); +#3095=CARTESIAN_POINT('Ctrl Pts',(-0.272399999999999,1.83546147721888,0.582242573184684)); +#3096=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.81919557361157,0.55513775040155)); +#3097=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.85215102619176,0.591285225794288)); +#3098=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.88510647876942,0.627432701187026)); +#3099=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.91806193134962,0.663580176579763)); +#3100=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.81815175146248,0.556097986956054)); +#3101=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.85033866550864,0.592952459027252)); +#3102=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.88252557955481,0.62980693109845)); +#3103=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.91471249360097,0.666661403169647)); +#3104=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.80587539517451,0.566627830100796)); +#3105=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.82902355404954,0.611235146087916)); +#3106=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.85217171292459,0.655842462074781)); +#3107=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.87531987179963,0.700449778061902)); +#3108=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.78839206377852,0.572709583255019)); +#3109=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.7986677099709,0.621794732604464)); +#3110=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.80894335616329,0.670879881953908)); +#3111=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.81921900235566,0.719965031303352)); +#3112=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.77282401633708,0.572482568071256)); +#3113=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.77163732256367,0.621400572168503)); +#3114=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.77045062879025,0.670318576265751)); +#3115=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.76926393501937,0.719236580363)); +#3116=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.77143794379554,0.572414947772155)); +#3117=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.7692307216136,0.621283164838675)); +#3118=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.7670234994342,0.670151381905195)); +#3119=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.76481627725226,0.719019598971715)); +#3120=CARTESIAN_POINT('',(-0.272400000000001,1.90145717899659,0.664648381607687)); +#3121=CARTESIAN_POINT('',(-0.272400000000001,1.83546147721888,0.582242573184938)); +#3122=CARTESIAN_POINT('Ctrl Pts',(-0.272399999999999,1.90145717899659,0.664648381607687)); +#3123=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000005,1.89206945169566,0.672166658089046)); +#3124=CARTESIAN_POINT('Ctrl Pts',(-0.272153819739772,1.88200797310577,0.67885171675882)); +#3125=CARTESIAN_POINT('Ctrl Pts',(-0.271660183408212,1.87142385796346,0.684601803122398)); +#3126=CARTESIAN_POINT('Ctrl Pts',(-0.271545877779446,1.86897301741765,0.685933283800029)); +#3127=CARTESIAN_POINT('Ctrl Pts',(-0.271418302471934,1.86649433277446,0.687214502706445)); +#3128=CARTESIAN_POINT('Ctrl Pts',(-0.271277439371688,1.8639900224779,0.688443988841373)); +#3129=CARTESIAN_POINT('Ctrl Pts',(-0.270528249762867,1.85067068442437,0.69498309123843)); +#3130=CARTESIAN_POINT('Ctrl Pts',(-0.269403806771517,1.83661680445472,0.700064046432345)); +#3131=CARTESIAN_POINT('Ctrl Pts',(-0.267891136091713,1.82217826362428,0.703554331332183)); +#3132=CARTESIAN_POINT('Ctrl Pts',(-0.266611102581474,1.80996025983225,0.706507837136007)); +#3133=CARTESIAN_POINT('Ctrl Pts',(-0.265052796383967,1.7974683340948,0.708321670642889)); +#3134=CARTESIAN_POINT('Ctrl Pts',(-0.26319807726175,1.78491081475188,0.708971765984458)); +#3135=CARTESIAN_POINT('Ctrl Pts',(-0.262860994987359,1.78262857312827,0.709089916282087)); +#3136=CARTESIAN_POINT('Ctrl Pts',(-0.262514097752737,1.78034407870351,0.709169521925862)); +#3137=CARTESIAN_POINT('Ctrl Pts',(-0.262157244184923,1.77805847982009,0.709210276679931)); +#3138=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.5060425731857)); +#3139=CARTESIAN_POINT('',(-0.253090003543058,1.97763577318468,0.506042573184683)); +#3140=CARTESIAN_POINT('Ctrl Pts',(-0.253090003543021,1.97763577318359,0.506042573184691)); +#3141=CARTESIAN_POINT('Ctrl Pts',(-0.256353365350437,1.97763577318367,0.520893424808609)); +#3142=CARTESIAN_POINT('Ctrl Pts',(-0.259166004459563,1.97600674581476,0.535786695961815)); +#3143=CARTESIAN_POINT('Ctrl Pts',(-0.264029097698391,1.96939463753005,0.565321525474807)); +#3144=CARTESIAN_POINT('Ctrl Pts',(-0.266048352053757,1.964381701958,0.579845752848028)); +#3145=CARTESIAN_POINT('Ctrl Pts',(-0.26924502797691,1.95121883317357,0.607370368421397)); +#3146=CARTESIAN_POINT('Ctrl Pts',(-0.270422444729511,1.94316295513992,0.62029044187588)); +#3147=CARTESIAN_POINT('Ctrl Pts',(-0.27200493330281,1.92422990842935,0.64419347092658)); +#3148=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000006,1.91340603049215,0.655078997422209)); +#3149=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000001,1.90145717899648,0.664648381607558)); +#3150=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318468,0.506042573184683)); +#3151=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.506042573184683)); +#3152=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.506042573184683)); +#3153=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.506042573184683)); +#3154=CARTESIAN_POINT('Origin',(-0.488300000000001,1.77443577318468,0.506042573184683)); +#3155=CARTESIAN_POINT('Origin',(-0.488300000000001,1.81433917318468,0.404399868784444)); +#3156=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.226642573184684)); +#3157=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.506042573184683)); +#3158=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.226642573184684)); +#3159=CARTESIAN_POINT('Origin',(0.0196999999999992,1.85063577318469,0.359992573184684)); +#3160=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.226642573184684)); +#3161=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.226642573184684)); +#3162=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); +#3163=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.506042573184683)); +#3164=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); +#3165=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.506042573184683)); +#3166=CARTESIAN_POINT('Origin',(0.0196999999999992,1.81433917318469,0.226642573184684)); +#3167=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.226642573184684)); +#3168=CARTESIAN_POINT('Origin',(0.527699999999999,1.81433917318469,0.404399868784444)); +#3169=CARTESIAN_POINT('Origin',(0.527699999999999,1.77443577318469,0.506042573184683)); +#3170=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.506042573184683)); +#3171=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.506042573184683)); +#3172=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.5060425731857)); +#3173=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.5060425731857)); +#3174=CARTESIAN_POINT('',(0.292490003543107,1.97763577318468,0.50604257318443)); +#3175=CARTESIAN_POINT('',(0.292490003543107,1.97763577318468,0.50604257318443)); +#3176=CARTESIAN_POINT('Ctrl Pts',(0.311800000000015,1.90145717899661,0.664648381607459)); +#3177=CARTESIAN_POINT('Ctrl Pts',(0.311800000000136,1.91340598474094,0.655079034062613)); +#3178=CARTESIAN_POINT('Ctrl Pts',(0.311404936307287,1.92422982570881,0.64419355415865)); +#3179=CARTESIAN_POINT('Ctrl Pts',(0.309822459846215,1.94316282080596,0.620290632561106)); +#3180=CARTESIAN_POINT('Ctrl Pts',(0.308645052079375,1.95121868475686,0.607370618810894)); +#3181=CARTESIAN_POINT('Ctrl Pts',(0.305448388563254,1.96438160151595,0.579846023722821)); +#3182=CARTESIAN_POINT('Ctrl Pts',(0.303429131260632,1.969394574972,0.565321746320909)); +#3183=CARTESIAN_POINT('Ctrl Pts',(0.298566025819497,1.97600673342663,0.535786808946256)); +#3184=CARTESIAN_POINT('Ctrl Pts',(0.295753377750799,1.97763577318368,0.520893481240269)); +#3185=CARTESIAN_POINT('Ctrl Pts',(0.292490003542997,1.97763577318359,0.506042573184455)); +#3186=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.81253638769958,0.560362861999312)); +#3187=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.84061754676449,0.600398349358454)); +#3188=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.86869870583193,0.640433836717595)); +#3189=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.89677986489683,0.680469324076737)); +#3190=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.81369032754309,0.559558078023666)); +#3191=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.84262197074903,0.599000418339996)); +#3192=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.87155361395243,0.638442758656326)); +#3193=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.90048525715837,0.677885098972655)); +#3194=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.82293102444646,0.552641359968128)); +#3195=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.85867330530111,0.586985896418872)); +#3196=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.89441558615574,0.62133043286987)); +#3197=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.93015786700785,0.655674969320614)); +#3198=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.83662609565673,0.53513019543706)); +#3199=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.88246200565351,0.556568541189442)); +#3200=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.92829791565283,0.578006886941824)); +#3201=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.97413382564961,0.59944523269446)); +#3202=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.84109290760192,0.514078504718326)); +#3203=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.8902209759623,0.520001198473118)); +#3204=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.93934904432269,0.52592389222791)); +#3205=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.98847711268561,0.531846585982702)); +#3206=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.840747312667,0.503308141945658)); +#3207=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.88962066843801,0.501292793911084)); +#3208=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.93849402420647,0.499277445876255)); +#3209=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.98736737997746,0.497262097841682)); +#3210=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.8406600481857,0.501955709239048)); +#3211=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.88946908772771,0.498943582584115)); +#3212=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.93827812727225,0.495931455929438)); +#3213=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.98708716681425,0.492919329274506)); +#3214=CARTESIAN_POINT('',(0.29249000354274,1.89106112872625,0.506042573183899)); +#3215=CARTESIAN_POINT('',(0.292490003542599,1.85063577318469,0.506042573183668)); +#3216=CARTESIAN_POINT('',(0.292490003542599,1.85063577318469,0.506042573183668)); +#3217=CARTESIAN_POINT('',(0.292490003542599,1.85063577318469,0.506042573183668)); +#3218=CARTESIAN_POINT('Ctrl Pts',(0.292490003542462,1.85063577318469,0.506042573183748)); +#3219=CARTESIAN_POINT('Ctrl Pts',(0.299152704843897,1.85063577318469,0.517412763759254)); +#3220=CARTESIAN_POINT('Ctrl Pts',(0.303811100709241,1.85063577317677,0.528660415840561)); +#3221=CARTESIAN_POINT('Ctrl Pts',(0.309703991694361,1.85063577317677,0.553715446373217)); +#3222=CARTESIAN_POINT('Ctrl Pts',(0.310998149380107,1.85063577318469,0.566856512488076)); +#3223=CARTESIAN_POINT('Ctrl Pts',(0.311516980245039,1.85063577318469,0.582242573184681)); +#3224=CARTESIAN_POINT('Origin',(0.0196999999999992,1.85063577318469,0.450313909503803)); +#3225=CARTESIAN_POINT('',(-0.253090003542931,1.85063577318469,0.50604257318443)); +#3226=CARTESIAN_POINT('Origin',(0.0196999999999992,1.85063577318469,0.610484059037387)); +#3227=CARTESIAN_POINT('Ctrl Pts',(-0.272116980244903,1.85063577318469,0.582242573184684)); +#3228=CARTESIAN_POINT('Ctrl Pts',(-0.271598779476615,1.85063577318469,0.566875198165379)); +#3229=CARTESIAN_POINT('Ctrl Pts',(-0.270306988847013,1.85063577339603,0.553745166944102)); +#3230=CARTESIAN_POINT('Ctrl Pts',(-0.264421099700378,1.85063577339603,0.528685073334563)); +#3231=CARTESIAN_POINT('Ctrl Pts',(-0.259760371314592,1.85063577318469,0.517425846925673)); +#3232=CARTESIAN_POINT('Ctrl Pts',(-0.253090003542963,1.85063577318469,0.506042573184412)); +#3233=CARTESIAN_POINT('Origin',(0.0196999999999992,1.91413577318468,0.610484059037387)); +#3234=CARTESIAN_POINT('Origin',(0.0196999999999992,1.97763577318468,0.610484059037387)); +#3235=CARTESIAN_POINT('',(-0.253090003543023,1.89106112872989,0.50604257318458)); +#3236=CARTESIAN_POINT('',(-0.253090003543058,1.97763577318468,0.506042573184683)); +#3237=CARTESIAN_POINT('',(-0.253090003543058,1.97763577318468,0.506042573184683)); +#3238=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.84066366883378,0.502012221158535)); +#3239=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.8894753768566,0.499041745283141)); +#3240=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.93828708487942,0.496071269407494)); +#3241=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.98709879290224,0.493100793531845)); +#3242=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.84074852280174,0.50334612131166)); +#3243=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.8896227704404,0.50135876507429)); +#3244=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.9384970180816,0.499371408836666)); +#3245=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.98737126572026,0.497384052599296)); +#3246=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.84108870384858,0.514098316617996)); +#3247=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.8902136739068,0.520035612262812)); +#3248=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.93933864396502,0.525972907907373)); +#3249=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.9884636140207,0.53191020355219)); +#3250=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.83662609565418,0.535130195436297)); +#3251=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.88246200562556,0.556568541175726)); +#3252=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.9282979155944,0.5780068869149)); +#3253=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.97413382556578,0.599445232654074)); +#3254=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.82293072106632,0.552641747881754)); +#3255=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.8586727783019,0.586986570214324)); +#3256=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.89441483553494,0.621331392547148)); +#3257=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.93015689277052,0.655676214879717)); +#3258=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.81368955864444,0.559558653541184)); +#3259=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.8426206351332,0.59900141800551)); +#3260=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.87155171162196,0.638444182469581)); +#3261=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.90048278811072,0.677886946933908)); +#3262=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.81253520649544,0.560363685776176)); +#3263=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.84061549496518,0.600399780256786)); +#3264=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.86869578343746,0.640435874737395)); +#3265=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.89677607190974,0.680471969217751)); +#3266=CARTESIAN_POINT('Origin',(0.0196999999999992,1.97763577318468,0.359992573184684)); +#3267=CARTESIAN_POINT('',(0.654699999999999,1.97763577318468,0.213942573184684)); +#3268=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.213942573184684)); +#3269=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.0107425731846836)); +#3270=CARTESIAN_POINT('Origin',(0.0196999999999992,1.31901705616263,0.0107425731846838)); +#3271=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.010742573184684)); +#3272=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.010742573184684)); +#3273=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.010742573184684)); +#3274=CARTESIAN_POINT('Origin',(0.0196999999999992,1.31901705616009,0.137742573184684)); +#3275=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); +#3276=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.137742573184684)); +#3277=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.137742573184683)); +#3278=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.137742573184684)); +#3279=CARTESIAN_POINT('Origin',(0.0196999999999992,0.863598339138026,0.0742425731846836)); +#3280=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.137742573184684)); +#3281=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.213942573184684)); +#3282=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); +#3283=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); +#3284=CARTESIAN_POINT('Origin',(1.2897,-1.82320626447728,0.750699449678182)); +#3285=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); +#3286=CARTESIAN_POINT('Origin',(1.55983078034264,-1.78588190095671,0.62083655396431)); +#3287=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); +#3288=CARTESIAN_POINT('Origin',(1.01956921965736,-1.78588190095671,0.62083655396431)); +#3289=CARTESIAN_POINT('Origin',(-1.2503,-1.82320626447728,0.750699449678182)); +#3290=CARTESIAN_POINT('',(-1.42469324703137,-1.89385509752367,0.69937006791602)); +#3291=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); +#3292=CARTESIAN_POINT('',(-1.07590665796529,-1.89385510772365,0.69937006050531)); +#3293=CARTESIAN_POINT('Origin',(-1.2503,-1.92187815965796,0.679010121510517)); +#3294=CARTESIAN_POINT('',(-1.0759065656626,-1.89385510213919,0.69937006456271)); +#3295=CARTESIAN_POINT('Origin',(-0.980169219657359,-1.78588190095671,0.62083655396431)); +#3296=CARTESIAN_POINT('',(-1.07590670607431,-1.81920637509815,0.596624906276958)); +#3297=CARTESIAN_POINT('',(-0.8439,-1.8192063750981,0.596624906277213)); +#3298=CARTESIAN_POINT('Origin',(-1.2503,-1.8472294326169,0.576264963225019)); +#3299=CARTESIAN_POINT('Origin',(-1.2503,-1.88455379613736,0.62763754236764)); +#3300=CARTESIAN_POINT('',(-1.07590666219182,-1.84253614084336,0.628735574057519)); +#3301=CARTESIAN_POINT('',(-1.07590670607431,-1.81920637509815,0.596624906276958)); +#3302=CARTESIAN_POINT('',(-1.07590670607431,-1.81920637509815,0.596624906276958)); +#3303=CARTESIAN_POINT('',(-1.42469325085966,-1.84253597253558,0.628735342401952)); +#3304=CARTESIAN_POINT('',(-1.42469315612536,-1.89385510213921,0.69937006456271)); +#3305=CARTESIAN_POINT('',(-1.42469329392569,-1.81920637509815,0.596624906276958)); +#3306=CARTESIAN_POINT('',(-1.42469315612536,-1.89385510213921,0.69937006456271)); +#3307=CARTESIAN_POINT('Origin',(-1.2503,-1.8472294326169,0.576264963225019)); +#3308=CARTESIAN_POINT('Origin',(-1.52043078034264,-1.78588190095671,0.62083655396431)); +#3309=CARTESIAN_POINT('',(-1.42469329392569,-1.81920637509813,0.596624906276958)); +#3310=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); +#3311=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.137742573184684)); +#3312=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.137742573184684)); +#3313=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.0107425731846842)); +#3314=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.0107425731846842)); +#3315=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.0107425731846846)); +#3316=CARTESIAN_POINT('Origin',(-1.2503,-1.34130000000009,0.0107425731846844)); +#3317=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.0107425731846846)); +#3318=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.0107425731846842)); +#3319=CARTESIAN_POINT('Origin',(-1.2503,-1.34130000000009,0.137742573184684)); +#3320=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.137742573184684)); +#3321=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.137742573184684)); +#3322=CARTESIAN_POINT('Origin',(-1.2503,-0.908182861126625,0.0742425731846839)); +#3323=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887417,0.213942573184685)); +#3324=CARTESIAN_POINT('',(-1.6567,-1.97761713887337,0.213942573184684)); +#3325=CARTESIAN_POINT('Origin',(-1.2503,-1.97761713887335,0.374460192245324)); +#3326=CARTESIAN_POINT('',(-0.8439,-1.97761713887335,0.53497781130571)); +#3327=CARTESIAN_POINT('',(-1.08922237310357,-1.97761713887335,0.53497781130571)); +#3328=CARTESIAN_POINT('',(-0.8439,-1.97761713887335,0.53497781130571)); +#3329=CARTESIAN_POINT('',(-1.41137762689643,-1.97761713887335,0.53497781130571)); +#3330=CARTESIAN_POINT('Origin',(-1.2503,-1.97761713887335,0.610256215336612)); +#3331=CARTESIAN_POINT('',(-1.41137762689643,-1.97761713887335,0.53497781130571)); +#3332=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887322,0.53497781130571)); +#3333=CARTESIAN_POINT('',(-1.42809996863142,-1.93673652957415,0.65721796592985)); +#3334=CARTESIAN_POINT('Ctrl Pts',(-1.42810000000003,-1.93673652957419,0.657217965929882)); +#3335=CARTESIAN_POINT('Ctrl Pts',(-1.4281000000006,-1.94269645702335,0.649303939689956)); +#3336=CARTESIAN_POINT('Ctrl Pts',(-1.42782748594417,-1.94808196912357,0.640948363316131)); +#3337=CARTESIAN_POINT('Ctrl Pts',(-1.42727587566415,-1.95284551127251,0.632210054609266)); +#3338=CARTESIAN_POINT('Ctrl Pts',(-1.42701851337345,-1.95506801539126,0.628133061799139)); +#3339=CARTESIAN_POINT('Ctrl Pts',(-1.42670016719767,-1.95715444308958,0.623972711010455)); +#3340=CARTESIAN_POINT('Ctrl Pts',(-1.4263189616396,-1.95909625819837,0.619735482785907)); +#3341=CARTESIAN_POINT('Ctrl Pts',(-1.42568114354674,-1.96234522659135,0.612645919771883)); +#3342=CARTESIAN_POINT('Ctrl Pts',(-1.42486644351441,-1.96520585761062,0.605341985530363)); +#3343=CARTESIAN_POINT('Ctrl Pts',(-1.42386906707057,-1.96762747644914,0.597907289709347)); +#3344=CARTESIAN_POINT('Ctrl Pts',(-1.42299269897767,-1.96975528836644,0.591374620687384)); +#3345=CARTESIAN_POINT('Ctrl Pts',(-1.42197532126616,-1.97154400637841,0.584741219181864)); +#3346=CARTESIAN_POINT('Ctrl Pts',(-1.42081690601527,-1.97299584174118,0.578067703405312)); +#3347=CARTESIAN_POINT('Ctrl Pts',(-1.41917594605468,-1.97505244769302,0.568614295245063)); +#3348=CARTESIAN_POINT('Ctrl Pts',(-1.41725484779048,-1.97640786779925,0.559079282429596)); +#3349=CARTESIAN_POINT('Ctrl Pts',(-1.41502699535208,-1.97709334688725,0.549541270878407)); +#3350=CARTESIAN_POINT('Ctrl Pts',(-1.413892237587,-1.97744249600704,0.544683079875957)); +#3351=CARTESIAN_POINT('Ctrl Pts',(-1.41267758760888,-1.97761713887335,0.539824283993388)); +#3352=CARTESIAN_POINT('Ctrl Pts',(-1.41137762689653,-1.97761713887335,0.534977811305683)); +#3353=CARTESIAN_POINT('Ctrl Pts',(-1.42469329270121,-1.89385509335689,0.699370070943466)); +#3354=CARTESIAN_POINT('Ctrl Pts',(-1.42582365207147,-1.90196387293158,0.693478698394092)); +#3355=CARTESIAN_POINT('Ctrl Pts',(-1.42667577210691,-1.90964633233063,0.686980139841143)); +#3356=CARTESIAN_POINT('Ctrl Pts',(-1.42781655417629,-1.92401258217284,0.672864920826599)); +#3357=CARTESIAN_POINT('Ctrl Pts',(-1.42810309217742,-1.9306688198395,0.665275113355767)); +#3358=CARTESIAN_POINT('Ctrl Pts',(-1.42810000000008,-1.93673652957993,0.657217965922164)); +#3359=CARTESIAN_POINT('Ctrl Pts',(-1.42788161231028,-1.95363126897912,0.650267016194963)); +#3360=CARTESIAN_POINT('Ctrl Pts',(-1.42788393643822,-1.91249759011644,0.623796465728245)); +#3361=CARTESIAN_POINT('Ctrl Pts',(-1.42788626056642,-1.87136391125376,0.597325915261781)); +#3362=CARTESIAN_POINT('Ctrl Pts',(-1.42788858469436,-1.83023023239106,0.570855364795063)); +#3363=CARTESIAN_POINT('Ctrl Pts',(-1.42802524773244,-1.95078476046869,0.654668499302825)); +#3364=CARTESIAN_POINT('Ctrl Pts',(-1.42802671163908,-1.91030557301377,0.627191359695365)); +#3365=CARTESIAN_POINT('Ctrl Pts',(-1.42802817554599,-1.86982638555884,0.599714220087904)); +#3366=CARTESIAN_POINT('Ctrl Pts',(-1.42802963945263,-1.82934719810392,0.572237080480699)); +#3367=CARTESIAN_POINT('Ctrl Pts',(-1.42839300025474,-1.93565532711929,0.676297044201351)); +#3368=CARTESIAN_POINT('Ctrl Pts',(-1.42838985657472,-1.8986473115757,0.643870633663903)); +#3369=CARTESIAN_POINT('Ctrl Pts',(-1.4283867128947,-1.86163929603212,0.611444223126454)); +#3370=CARTESIAN_POINT('Ctrl Pts',(-1.42838356921467,-1.82463128048855,0.57901781258926)); +#3371=CARTESIAN_POINT('Ctrl Pts',(-1.42667433103067,-1.91297142092918,0.69859524604885)); +#3372=CARTESIAN_POINT('Ctrl Pts',(-1.42667680114943,-1.88115243792798,0.661054977516381)); +#3373=CARTESIAN_POINT('Ctrl Pts',(-1.42667927126793,-1.84933345492678,0.623514708984164)); +#3374=CARTESIAN_POINT('Ctrl Pts',(-1.42668174138669,-1.81751447192558,0.585974440451695)); +#3375=CARTESIAN_POINT('Ctrl Pts',(-1.42354026932141,-1.89136246929291,0.713157167851034)); +#3376=CARTESIAN_POINT('Ctrl Pts',(-1.42353920704185,-1.86452966830333,0.67225396758343)); +#3377=CARTESIAN_POINT('Ctrl Pts',(-1.42353814476203,-1.83769686731374,0.631350767316081)); +#3378=CARTESIAN_POINT('Ctrl Pts',(-1.42353708248247,-1.81086406632413,0.59044756704873)); +#3379=CARTESIAN_POINT('Ctrl Pts',(-1.42286991232177,-1.88707747224984,0.715826626501692)); +#3380=CARTESIAN_POINT('Ctrl Pts',(-1.42286715183148,-1.86123504463765,0.67430533610324)); +#3381=CARTESIAN_POINT('Ctrl Pts',(-1.42286439134144,-1.83539261702548,0.632784045704534)); +#3382=CARTESIAN_POINT('Ctrl Pts',(-1.42286163085116,-1.80955018941328,0.591262755306083)); +#3383=CARTESIAN_POINT('',(-1.4281,-1.83528691039211,0.580817869281748)); +#3384=CARTESIAN_POINT('',(-1.4281,-1.83528691039211,0.580817869281748)); +#3385=CARTESIAN_POINT('Ctrl Pts',(-1.42810000000004,-1.83528691039218,0.580817869281804)); +#3386=CARTESIAN_POINT('Ctrl Pts',(-1.42810022308253,-1.83299677154709,0.58385888263132)); +#3387=CARTESIAN_POINT('Ctrl Pts',(-1.42781295542283,-1.83049045365117,0.586711703294918)); +#3388=CARTESIAN_POINT('Ctrl Pts',(-1.42667149629601,-1.82507937010162,0.592024828325393)); +#3389=CARTESIAN_POINT('Ctrl Pts',(-1.42581498411461,-1.82219701414972,0.594452079820212)); +#3390=CARTESIAN_POINT('Ctrl Pts',(-1.42469329392565,-1.81920637509824,0.596624906277051)); +#3391=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887312,0.53497781130571)); +#3392=CARTESIAN_POINT('',(-1.41137762689643,-1.85061713887335,0.53497781130571)); +#3393=CARTESIAN_POINT('',(-1.6567,-1.85061713887335,0.53497781130571)); +#3394=CARTESIAN_POINT('Ctrl Pts',(-1.4280999999999,-1.83528691039218,0.580817869281804)); +#3395=CARTESIAN_POINT('Ctrl Pts',(-1.42809999999997,-1.83778361544647,0.577502562257448)); +#3396=CARTESIAN_POINT('Ctrl Pts',(-1.42775464777988,-1.83999102793526,0.574006829888038)); +#3397=CARTESIAN_POINT('Ctrl Pts',(-1.42638882550968,-1.84382592444481,0.566697940699069)); +#3398=CARTESIAN_POINT('Ctrl Pts',(-1.42536603985752,-1.84542037302451,0.562924224428272)); +#3399=CARTESIAN_POINT('Ctrl Pts',(-1.42260569926787,-1.84805475690655,0.555040543645411)); +#3400=CARTESIAN_POINT('Ctrl Pts',(-1.4208329164422,-1.84903045548682,0.550965839502333)); +#3401=CARTESIAN_POINT('Ctrl Pts',(-1.41659522355089,-1.85032712683939,0.542833412485876)); +#3402=CARTESIAN_POINT('Ctrl Pts',(-1.41412480778331,-1.85061713887335,0.538818543859151)); +#3403=CARTESIAN_POINT('Ctrl Pts',(-1.41137762689646,-1.85061713887335,0.534977811305685)); +#3404=CARTESIAN_POINT('Origin',(-1.2503,-1.85061713887335,0.374460192245324)); +#3405=CARTESIAN_POINT('',(-0.8439,-1.8506171388733,0.213942573184685)); +#3406=CARTESIAN_POINT('',(-1.08922237310357,-1.85061713887335,0.53497781130571)); +#3407=CARTESIAN_POINT('Origin',(-1.2503,-1.85061713887335,0.610256215336613)); +#3408=CARTESIAN_POINT('',(-1.08922237310357,-1.85061713887335,0.53497781130571)); +#3409=CARTESIAN_POINT('',(-0.8439,-1.8506171388733,0.213942573184685)); +#3410=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887329,0.213942573184685)); +#3411=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); +#3412=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887322,0.53497781130571)); +#3413=CARTESIAN_POINT('',(-1.07249996814849,-1.93673672615078,0.657218114367566)); +#3414=CARTESIAN_POINT('Ctrl Pts',(-1.07250000000013,-1.93673652937653,0.657217966192245)); +#3415=CARTESIAN_POINT('Ctrl Pts',(-1.07249690447105,-1.93066882486895,0.665275106649393)); +#3416=CARTESIAN_POINT('Ctrl Pts',(-1.07278343783233,-1.92401259340219,0.672864908019196)); +#3417=CARTESIAN_POINT('Ctrl Pts',(-1.07392421618107,-1.90964635043126,0.686980123831)); +#3418=CARTESIAN_POINT('Ctrl Pts',(-1.07477633773539,-1.9019638913877,0.693478683580843)); +#3419=CARTESIAN_POINT('Ctrl Pts',(-1.07590670470159,-1.89385511198786,0.699370057407278)); +#3420=CARTESIAN_POINT('Ctrl Pts',(-1.08922237310339,-1.97761713887335,0.53497781130566)); +#3421=CARTESIAN_POINT('Ctrl Pts',(-1.08667022208546,-1.97761713887335,0.544492660551533)); +#3422=CARTESIAN_POINT('Ctrl Pts',(-1.08444577717426,-1.97694663290019,0.554052847460759)); +#3423=CARTESIAN_POINT('Ctrl Pts',(-1.08251265183297,-1.97559632680792,0.563568362952961)); +#3424=CARTESIAN_POINT('Ctrl Pts',(-1.08152762979974,-1.97490827972474,0.568416984103988)); +#3425=CARTESIAN_POINT('Ctrl Pts',(-1.08061854895755,-1.97404305244094,0.57325454546617)); +#3426=CARTESIAN_POINT('Ctrl Pts',(-1.07978250857968,-1.97299510981541,0.578071076322041)); +#3427=CARTESIAN_POINT('Ctrl Pts',(-1.07862431731276,-1.97154336414705,0.584743557845487)); +#3428=CARTESIAN_POINT('Ctrl Pts',(-1.07760713869246,-1.96975484808545,0.591375817973398)); +#3429=CARTESIAN_POINT('Ctrl Pts',(-1.0767309329376,-1.9676274174792,0.597907270519237)); +#3430=CARTESIAN_POINT('Ctrl Pts',(-1.07573337704967,-1.96520534832977,0.605343296712452)); +#3431=CARTESIAN_POINT('Ctrl Pts',(-1.0749185835266,-1.96234417957993,0.61264836748587)); +#3432=CARTESIAN_POINT('Ctrl Pts',(-1.0742807343866,-1.95909470782119,0.619738860834415)); +#3433=CARTESIAN_POINT('Ctrl Pts',(-1.07346363913058,-1.95493208096773,0.628821899619918)); +#3434=CARTESIAN_POINT('Ctrl Pts',(-1.07293436102201,-1.95010846029869,0.63755069880726)); +#3435=CARTESIAN_POINT('Ctrl Pts',(-1.07267870233258,-1.94469440172268,0.645864464509073)); +#3436=CARTESIAN_POINT('Ctrl Pts',(-1.07255948906656,-1.942169834274,0.649741161070845)); +#3437=CARTESIAN_POINT('Ctrl Pts',(-1.07249999999702,-1.93951616972802,0.653527777029029)); +#3438=CARTESIAN_POINT('Ctrl Pts',(-1.07249999999996,-1.93673692342046,0.657218262922108)); +#3439=CARTESIAN_POINT('Ctrl Pts',(-1.07265334435676,-1.93616993637623,0.673627571512113)); +#3440=CARTESIAN_POINT('Ctrl Pts',(-1.07265411398565,-1.89904006021863,0.641804818232676)); +#3441=CARTESIAN_POINT('Ctrl Pts',(-1.07265488361454,-1.86191018406103,0.609982064953241)); +#3442=CARTESIAN_POINT('Ctrl Pts',(-1.07265565324343,-1.8247803079034,0.578159311673805)); +#3443=CARTESIAN_POINT('Ctrl Pts',(-1.07255104364721,-1.93909735274983,0.670229747508942)); +#3444=CARTESIAN_POINT('Ctrl Pts',(-1.07255127140571,-1.90129871208059,0.639186809982292)); +#3445=CARTESIAN_POINT('Ctrl Pts',(-1.07255149916421,-1.86350007141132,0.608143872455642)); +#3446=CARTESIAN_POINT('Ctrl Pts',(-1.07255172692245,-1.82570143074205,0.577100934929247)); +#3447=CARTESIAN_POINT('Ctrl Pts',(-1.07223074424286,-1.95676358479228,0.648285831163182)); +#3448=CARTESIAN_POINT('Ctrl Pts',(-1.07222954281524,-1.91491846681575,0.622279315749343)); +#3449=CARTESIAN_POINT('Ctrl Pts',(-1.07222834138736,-1.87307334883922,0.596272800335756)); +#3450=CARTESIAN_POINT('Ctrl Pts',(-1.07222713995974,-1.8312282308627,0.570266284921917)); +#3451=CARTESIAN_POINT('Ctrl Pts',(-1.07534065369892,-1.98085522331817,0.60158941708147)); +#3452=CARTESIAN_POINT('Ctrl Pts',(-1.07535332878685,-1.93340032952147,0.586301950459106)); +#3453=CARTESIAN_POINT('Ctrl Pts',(-1.07536600387479,-1.88594543572477,0.571014483836743)); +#3454=CARTESIAN_POINT('Ctrl Pts',(-1.07537867896298,-1.83849054192807,0.555727017214378)); +#3455=CARTESIAN_POINT('Ctrl Pts',(-1.0845443614934,-1.98811211001705,0.552077617318882)); +#3456=CARTESIAN_POINT('Ctrl Pts',(-1.08454314322329,-1.93907199111249,0.548152763061927)); +#3457=CARTESIAN_POINT('Ctrl Pts',(-1.08454192495293,-1.89003187220794,0.544227908804971)); +#3458=CARTESIAN_POINT('Ctrl Pts',(-1.08454070668283,-1.84099175330336,0.540303054547761)); +#3459=CARTESIAN_POINT('Ctrl Pts',(-1.09137871415868,-1.98735345031989,0.526776703676462)); +#3460=CARTESIAN_POINT('Ctrl Pts',(-1.09137894834769,-1.93847905625714,0.528659040051229)); +#3461=CARTESIAN_POINT('Ctrl Pts',(-1.09137918253645,-1.88960466219439,0.530541376425995)); +#3462=CARTESIAN_POINT('Ctrl Pts',(-1.09137941672547,-1.84073026813164,0.532423712800761)); +#3463=CARTESIAN_POINT('Ctrl Pts',(-1.09252748023477,-1.98711261129728,0.522752141638226)); +#3464=CARTESIAN_POINT('Ctrl Pts',(-1.09252827283796,-1.93829026410635,0.525558246279753)); +#3465=CARTESIAN_POINT('Ctrl Pts',(-1.09252906544115,-1.88946791691543,0.528364350921025)); +#3466=CARTESIAN_POINT('Ctrl Pts',(-1.09252985804434,-1.8406455697245,0.53117045556255)); +#3467=CARTESIAN_POINT('',(-1.08922237310359,-1.89104249441663,0.534977811305687)); +#3468=CARTESIAN_POINT('',(-1.08922237310357,-1.97761713887335,0.53497781130571)); +#3469=CARTESIAN_POINT('',(-1.0725,-1.83528691039211,0.580817869281748)); +#3470=CARTESIAN_POINT('',(-1.0724999044452,-1.93673692342046,0.657218262922108)); +#3471=CARTESIAN_POINT('Ctrl Pts',(-1.08922237310343,-1.85061713887335,0.534977811305604)); +#3472=CARTESIAN_POINT('Ctrl Pts',(-1.0864658197932,-1.85061713887335,0.538831647099534)); +#3473=CARTESIAN_POINT('Ctrl Pts',(-1.08398796656165,-1.8503251454076,0.542860749841933)); +#3474=CARTESIAN_POINT('Ctrl Pts',(-1.07974037883674,-1.84901920726616,0.55102187713071)); +#3475=CARTESIAN_POINT('Ctrl Pts',(-1.07796507520485,-1.8480365558613,0.555110610409232)); +#3476=CARTESIAN_POINT('Ctrl Pts',(-1.07521420403131,-1.84539238946813,0.562993274655907)); +#3477=CARTESIAN_POINT('Ctrl Pts',(-1.07419879520797,-1.84380023451006,0.566752100345601)); +#3478=CARTESIAN_POINT('Ctrl Pts',(-1.07284283377888,-1.83997493475306,0.574032330651584)); +#3479=CARTESIAN_POINT('Ctrl Pts',(-1.07250000000014,-1.83777448242766,0.577514689745787)); +#3480=CARTESIAN_POINT('Ctrl Pts',(-1.0725000000001,-1.83528691039218,0.580817869281804)); +#3481=CARTESIAN_POINT('',(-1.08922237310357,-1.97761713887335,0.53497781130571)); +#3482=CARTESIAN_POINT('Origin',(-1.2503,-1.91411713887335,0.610256215336613)); +#3483=CARTESIAN_POINT('',(-1.41137762689643,-1.89104249441973,0.534977811305715)); +#3484=CARTESIAN_POINT('',(-1.41137762689643,-1.85061713887335,0.53497781130571)); +#3485=CARTESIAN_POINT('',(-1.41137762689643,-1.85061713887335,0.53497781130571)); +#3486=CARTESIAN_POINT('Ctrl Pts',(-1.4081550888264,-1.98712973344175,0.523041789885192)); +#3487=CARTESIAN_POINT('Ctrl Pts',(-1.40815433584112,-1.93830368566099,0.525781410854758)); +#3488=CARTESIAN_POINT('Ctrl Pts',(-1.40815358285583,-1.88947763788024,0.528521031824325)); +#3489=CARTESIAN_POINT('Ctrl Pts',(-1.40815282987029,-1.84065159009948,0.531260652793891)); +#3490=CARTESIAN_POINT('Ctrl Pts',(-1.40927411263204,-1.98735924626469,0.526972395119724)); +#3491=CARTESIAN_POINT('Ctrl Pts',(-1.40927388954104,-1.9384835862112,0.52880981543751)); +#3492=CARTESIAN_POINT('Ctrl Pts',(-1.4092736664503,-1.88960792615771,0.53064723575555)); +#3493=CARTESIAN_POINT('Ctrl Pts',(-1.40927344335931,-1.8407322661042,0.532484656073337)); +#3494=CARTESIAN_POINT('Ctrl Pts',(-1.41607499329695,-1.98809684838948,0.552181737297332)); +#3495=CARTESIAN_POINT('Ctrl Pts',(-1.41607618235045,-1.93906006339992,0.548232988239551)); +#3496=CARTESIAN_POINT('Ctrl Pts',(-1.4160773714037,-1.89002327841037,0.544284239181514)); +#3497=CARTESIAN_POINT('Ctrl Pts',(-1.41607856045695,-1.84098649342079,0.540335490123732)); +#3498=CARTESIAN_POINT('Ctrl Pts',(-1.42525934630108,-1.98085522247281,0.601589416809182)); +#3499=CARTESIAN_POINT('Ctrl Pts',(-1.42524667121315,-1.93340032895787,0.586301950277497)); +#3500=CARTESIAN_POINT('Ctrl Pts',(-1.42523399612496,-1.88594543544293,0.571014483745811)); +#3501=CARTESIAN_POINT('Ctrl Pts',(-1.42522132103702,-1.83849054192801,0.555727017214378)); +#3502=CARTESIAN_POINT('Ctrl Pts',(-1.42836930803771,-1.95676317904394,0.648286615710254)); +#3503=CARTESIAN_POINT('Ctrl Pts',(-1.42837050969876,-1.91491815562145,0.622279920253594)); +#3504=CARTESIAN_POINT('Ctrl Pts',(-1.4283717113598,-1.87307313219894,0.596273224797188)); +#3505=CARTESIAN_POINT('Ctrl Pts',(-1.42837291302085,-1.83122810877643,0.570266529340528)); +#3506=CARTESIAN_POINT('Ctrl Pts',(-1.42804893652885,-1.93909625878547,0.670231104966731)); +#3507=CARTESIAN_POINT('Ctrl Pts',(-1.42804870868196,-1.90129786876058,0.639187855942862)); +#3508=CARTESIAN_POINT('Ctrl Pts',(-1.42804848083507,-1.86349947873569,0.608144606918995)); +#3509=CARTESIAN_POINT('Ctrl Pts',(-1.42804825298818,-1.82570108871081,0.577101357895127)); +#3510=CARTESIAN_POINT('Ctrl Pts',(-1.42794659604747,-1.93616823048258,0.673629550165763)); +#3511=CARTESIAN_POINT('Ctrl Pts',(-1.42794582610286,-1.89903874410338,0.641806342835418)); +#3512=CARTESIAN_POINT('Ctrl Pts',(-1.42794505615825,-1.86190925772417,0.609983135505074)); +#3513=CARTESIAN_POINT('Ctrl Pts',(-1.42794428621364,-1.82477977134494,0.578159928174731)); +#3514=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887312,0.53497781130571)); +#3515=CARTESIAN_POINT('Ctrl Pts',(-1.07590670607436,-1.81920637509824,0.596624906277048)); +#3516=CARTESIAN_POINT('Ctrl Pts',(-1.07478501539442,-1.82219701402634,0.594452079909851)); +#3517=CARTESIAN_POINT('Ctrl Pts',(-1.07392850308291,-1.82507936978281,0.592024828605556)); +#3518=CARTESIAN_POINT('Ctrl Pts',(-1.07278704405146,-1.83049045345904,0.586711703515982)); +#3519=CARTESIAN_POINT('Ctrl Pts',(-1.07249977667542,-1.83299677146105,0.583858882745571)); +#3520=CARTESIAN_POINT('Ctrl Pts',(-1.07250000000024,-1.83528691039218,0.580817869281804)); +#3521=CARTESIAN_POINT('Ctrl Pts',(-1.07769559789936,-1.88729693501374,0.71568681093347)); +#3522=CARTESIAN_POINT('Ctrl Pts',(-1.07769826989452,-1.86140391882972,0.674198105078688)); +#3523=CARTESIAN_POINT('Ctrl Pts',(-1.07770094188969,-1.83551090264573,0.632709399223906)); +#3524=CARTESIAN_POINT('Ctrl Pts',(-1.07770361388485,-1.80961788646171,0.591220693369124)); +#3525=CARTESIAN_POINT('Ctrl Pts',(-1.07703884820956,-1.89150525592835,0.713057892549966)); +#3526=CARTESIAN_POINT('Ctrl Pts',(-1.07703988369619,-1.86463964786,0.672177830476624)); +#3527=CARTESIAN_POINT('Ctrl Pts',(-1.07704091918281,-1.83777403979168,0.631297768403282)); +#3528=CARTESIAN_POINT('Ctrl Pts',(-1.07704195466944,-1.81090843172333,0.59041770632994)); +#3529=CARTESIAN_POINT('Ctrl Pts',(-1.07392087047575,-1.91303292869453,0.698531412397245)); +#3530=CARTESIAN_POINT('Ctrl Pts',(-1.0739184226836,-1.88120003861627,0.661005974684998)); +#3531=CARTESIAN_POINT('Ctrl Pts',(-1.07391597489169,-1.849367148538,0.623480536972753)); +#3532=CARTESIAN_POINT('Ctrl Pts',(-1.07391352709979,-1.81753425845974,0.585955099260506)); +#3533=CARTESIAN_POINT('Ctrl Pts',(-1.07220689408304,-1.93565481838955,0.676294113155397)); +#3534=CARTESIAN_POINT('Ctrl Pts',(-1.07221003958297,-1.89864711210041,0.643868543099122)); +#3535=CARTESIAN_POINT('Ctrl Pts',(-1.0722131850829,-1.86163940581125,0.611442973043103)); +#3536=CARTESIAN_POINT('Ctrl Pts',(-1.07221633058309,-1.82463169952208,0.579017402987083)); +#3537=CARTESIAN_POINT('Ctrl Pts',(-1.07257480725018,-1.95078485025193,0.654664077890789)); +#3538=CARTESIAN_POINT('Ctrl Pts',(-1.07257334159398,-1.91030585372215,0.627188094531969)); +#3539=CARTESIAN_POINT('Ctrl Pts',(-1.07257187593778,-1.86982685719234,0.599712111173148)); +#3540=CARTESIAN_POINT('Ctrl Pts',(-1.07257041028184,-1.82934786066256,0.572236127814328)); +#3541=CARTESIAN_POINT('Ctrl Pts',(-1.07271854573055,-1.9536322123659,0.650261014123654)); +#3542=CARTESIAN_POINT('Ctrl Pts',(-1.07271621877432,-1.9124985310646,0.623791976097905)); +#3543=CARTESIAN_POINT('Ctrl Pts',(-1.07271389181834,-1.87136484976332,0.597322938072409)); +#3544=CARTESIAN_POINT('Ctrl Pts',(-1.07271156486211,-1.83023116846203,0.570853900046658)); +#3545=CARTESIAN_POINT('Ctrl Pts',(1.46230440210064,-1.88729693501371,0.71568681093347)); +#3546=CARTESIAN_POINT('Ctrl Pts',(1.46230173010548,-1.86140391882969,0.674198105078688)); +#3547=CARTESIAN_POINT('Ctrl Pts',(1.46229905811031,-1.83551090264567,0.632709399223906)); +#3548=CARTESIAN_POINT('Ctrl Pts',(1.46229638611515,-1.80961788646165,0.591220693369124)); +#3549=CARTESIAN_POINT('Ctrl Pts',(1.46296115179044,-1.89150525592835,0.713057892549966)); +#3550=CARTESIAN_POINT('Ctrl Pts',(1.46296011630381,-1.86463964786,0.672177830476624)); +#3551=CARTESIAN_POINT('Ctrl Pts',(1.46295908081719,-1.83777403979165,0.631297768403282)); +#3552=CARTESIAN_POINT('Ctrl Pts',(1.46295804533056,-1.81090843172331,0.59041770632994)); +#3553=CARTESIAN_POINT('Ctrl Pts',(1.46607912952425,-1.91303292869453,0.698531412397245)); +#3554=CARTESIAN_POINT('Ctrl Pts',(1.4660815773164,-1.88120003861629,0.661005974684998)); +#3555=CARTESIAN_POINT('Ctrl Pts',(1.46608402510831,-1.84936714853803,0.623480536972753)); +#3556=CARTESIAN_POINT('Ctrl Pts',(1.46608647290021,-1.81753425845977,0.585955099260506)); +#3557=CARTESIAN_POINT('Ctrl Pts',(1.46779310591696,-1.93565481838955,0.676294113155397)); +#3558=CARTESIAN_POINT('Ctrl Pts',(1.46778996041703,-1.89864711210041,0.643868543099122)); +#3559=CARTESIAN_POINT('Ctrl Pts',(1.4677868149171,-1.86163940581125,0.611442973043103)); +#3560=CARTESIAN_POINT('Ctrl Pts',(1.46778366941691,-1.82463169952211,0.579017402987083)); +#3561=CARTESIAN_POINT('Ctrl Pts',(1.46742519274982,-1.9507848502519,0.654664077890789)); +#3562=CARTESIAN_POINT('Ctrl Pts',(1.46742665840602,-1.91030585372212,0.627188094531969)); +#3563=CARTESIAN_POINT('Ctrl Pts',(1.46742812406222,-1.86982685719234,0.599712111173148)); +#3564=CARTESIAN_POINT('Ctrl Pts',(1.46742958971816,-1.82934786066256,0.572236127814328)); +#3565=CARTESIAN_POINT('Ctrl Pts',(1.46728145426945,-1.95363221236585,0.650261014123654)); +#3566=CARTESIAN_POINT('Ctrl Pts',(1.46728378122568,-1.91249853106457,0.623791976097905)); +#3567=CARTESIAN_POINT('Ctrl Pts',(1.46728610818166,-1.87136484976332,0.597322938072409)); +#3568=CARTESIAN_POINT('Ctrl Pts',(1.46728843513789,-1.83023116846203,0.570853900046658)); +#3569=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#3573, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#3570=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#3573, +'DISTANCE_ACCURACY_VALUE', +'Maximum model space distance between geometric entities at asserted c +onnectivities'); +#3571=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3569)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#3573,#3575,#3576)) +REPRESENTATION_CONTEXT('','3D') +); +#3572=( +GEOMETRIC_REPRESENTATION_CONTEXT(3) +GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3570)) +GLOBAL_UNIT_ASSIGNED_CONTEXT((#3573,#3575,#3576)) +REPRESENTATION_CONTEXT('','3D') +); +#3573=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.MILLI.,.METRE.) +); +#3574=( +LENGTH_UNIT() +NAMED_UNIT(*) +SI_UNIT(.CENTI.,.METRE.) +); +#3575=( +NAMED_UNIT(*) +PLANE_ANGLE_UNIT() +SI_UNIT($,.RADIAN.) +); +#3576=( +NAMED_UNIT(*) +SI_UNIT($,.STERADIAN.) +SOLID_ANGLE_UNIT() +); +#3577=SHAPE_DEFINITION_REPRESENTATION(#3578,#3579); +#3578=PRODUCT_DEFINITION_SHAPE('',$,#3581); +#3579=SHAPE_REPRESENTATION('',(#1867),#3571); +#3580=PRODUCT_DEFINITION_CONTEXT('part definition',#3585,'design'); +#3581=PRODUCT_DEFINITION('3224W','3224W',#3582,#3580); +#3582=PRODUCT_DEFINITION_FORMATION('1LAST_VERSION',$,#3587); +#3583=PRODUCT_RELATED_PRODUCT_CATEGORY('3224W','3224W',(#3587)); +#3584=APPLICATION_PROTOCOL_DEFINITION('international standard', +'automotive_design',2009,#3585); +#3585=APPLICATION_CONTEXT( +'Core Data for Automotive Mechanical Design Process'); +#3586=PRODUCT_CONTEXT('part definition',#3585,'mechanical'); +#3587=PRODUCT('3224W','3224W',$,(#3586)); +#3588=PRESENTATION_STYLE_ASSIGNMENT((#3590)); +#3589=PRESENTATION_STYLE_ASSIGNMENT((#3591)); +#3590=SURFACE_STYLE_USAGE(.BOTH.,#3596); +#3591=SURFACE_STYLE_USAGE(.BOTH.,#3597); +#3592=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3604,(#3594)); +#3593=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3605,(#3595)); +#3594=SURFACE_STYLE_TRANSPARENT(0.); +#3595=SURFACE_STYLE_TRANSPARENT(0.); +#3596=SURFACE_SIDE_STYLE('',(#3598,#3592)); +#3597=SURFACE_SIDE_STYLE('',(#3599,#3593)); +#3598=SURFACE_STYLE_FILL_AREA(#3600); +#3599=SURFACE_STYLE_FILL_AREA(#3601); +#3600=FILL_AREA_STYLE('',(#3602)); +#3601=FILL_AREA_STYLE('',(#3603)); +#3602=FILL_AREA_STYLE_COLOUR('',#3604); +#3603=FILL_AREA_STYLE_COLOUR('',#3605); +#3604=COLOUR_RGB('',0.8,0.807843137254902,0.8); +#3605=COLOUR_RGB('',0.96078431372549,0.901960784313726,0.815686274509804); +ENDSEC; +END-ISO-10303-21; diff --git a/footprints/Potentiometer/PCB - POTENTIOMETER - POT BOURNS TRIMPOT 3224W.PCBLIB b/footprints/Potentiometer/PCB - POTENTIOMETER - POT BOURNS TRIMPOT 3224W.PCBLIB new file mode 100644 index 0000000000000000000000000000000000000000..0af7964334f6b3286622771b869e2b7d995caba1 GIT binary patch literal 139776 zcmeFa2Y3|K_cu;as!Fd41dwJ}*y%G!z%8>Ol136jl@Lh8NJt=5B@pQdC?X&N3L=V9 zq=+aWO*%?Z>C&VtML>GZduHzJ?##V2yZih8zVGwj_j&%&OE&kOd*_^6&ga~F&z${r zUxh#Ct*Cjr2=>#eNbw?13QHF$q0280pNo3`E?=ZbG41asg@uLQ?5C9vKm=L;|Ihye zJ@6QKd^bDkDAw37F93+?mMJhn52&odJ%8;r+ zstTzZr0S4rK&lDpc}Oonss*Vwq&koyAk~Fb4^n+dFG6YnsUf6BkQzg30_i14k&v1~ zvO#JFsW~J&BnlD@iGjpI;vn&m1V|zz2~rD4FGFexDGE|6NUb5g0?7f%3CRV?4M~RN zffNm?4Wt-IuR>}IDHc*3qv zx**&uZrNa@f6nW{9XER=t%7AaP=7!WG+Oj&NB)KO@Z zd7}Kp!t;B;Gt>XalB4?Nixe%YJXiTqbsx$${A>T9s4BO;=u_%%ec^v9x3`VwKnp(w ze<*rd;lZ09=(jRXN*(1#S@-&+$e^^P&r?1t>))H_UH{&{y}!e8{VTkA*T0uf<#%h4 z=M|ky;lBPAp8s$BD0=z%M3*yyZ)T5hX62;ky%iCg)gw>&?a9i{EOU5%->5Q`Us152 zlq)sa;p`Nn=tS>Nur=?c`@i-3fBXEefiXGGDZ8UmlG@ApaS3iYHaVevl1q+ipOcaK zdR9(mMt+PtYN(5GGY+SRvq=uoWn%?ewuufmW22pt+e5oq3jQ6IpOlc&G0NV|ZnrBR zJNZ9$);_x7L%yqx>}s3bJ}!#3Q+CeI*eNPM-VrB9B_^aqIN|B>$q^|@F>%VD3{A5g znkA>m3flblf83z?2B;|XpS4{E^sjzihSG|nU$#b~k&^+@dVto?P<4L>;6bj6LkgaS z^78^bU%`_~kQ6>bsiWY9SO3Mq^Ayy{RuMFm+D4k^H2tsGMamj6`-%9YxT^QPzx6c# z4gLQ=?SG}eyz-BL&m$p?f;1Y^7)WCwje|5E(z}o*K$-|?5~TMay$@+Jqz@oXf%GAy zsgR~YnhxnBNHZXP3~45$S&(K!QgqlO_&o>GTu7fmng{7~Nb@0m0qILf3m|<3Nm-i< zAuWQm7}63*-#}UlX&I#DkXAtY7Sc*c-$7ah>3c{&Kw1rH4WzY@euT6R(t1c6AZ>)S z3DQrHHbdG1X)B~{khVkG0cj_sU66i;^b4fjkoG{@3uzyuUm^Vl>32x`Asv8p5Yiz? zhanw-bQIDbkd8q*4(SA>laNk9`V-P=NM|6Og>(+mc}N!^U4--(q`x6uf^-?u6-ZYh z{R8P5r0bAwK>8QbO-Q#O-G+1r(p^aRAl--b0MbK9D*nOmqD5h?!0%%4doHZQd{0cQ ztm+?Ei>~eaXXf?qnv|Q9o}CfVHaBlTX7BX${$2YI%zHf}FKbX{*EC0LN=*B>uIbqW zvIh3CWh1cY`g(5efWCQIIRpB4P0SsTnKK|Ow@>DP%)G7&xY!~4lnPPLURQh7&wI%c#;AYO3O-|l1VQ^`+3oC< z2-uZu${VHf=Jc?l#4(&rrbXGt^0Z(RDF^Vzb57dhbTbU?#CfCAe7q$L%FKhl#vV?k zVce;MtYe=_({rc7y-_||WzHqrCECHbZEo4gz%+2#ZBCcRX%jrqiBKp2^yAFYe&&*M zvokXDFy3gKH(QQ(| z3}O3ZWt&pO!9q%kal}R;86uK0`)BnZK#;l;VEI8`r^y`?9r5Zqw3AITYG*Q(opMvO z)8^)B+QxEj7c3{%V`Dsw%jtI5?H)UicP8WS%vfbbVGJ<3z7$|ED1ji*_oc}=Gik1b z#FREMuC`H>S#K!iV0*=b!b*&Fxa2ta5Jh26VO9BLp0)F$NZDKt&SPU`-eYqJ3}xd) ziS|e|?SUnS%f}-5+*z5~nLP*OW%Z08+xAUm!OlnwPZH=wG@CB0f4bn)0TrR?!Zs6$ z>4M6&=|a)Xcye$NiEqAml5F^d=G5-tXdV=eQ?|KXqQl10END}~%|jnag2&DXZrUN@ z-NT{oapn#fklQE1YzA<;86dK>z~SA)8CJSk_i#a`fKpCO0bJejs*Jqb&ASAdvhlQ= z0!2&FARf#Ek>;JSL$C{WmkXDXH^^wJGI*Vks78H&mf)c$m_6vMbBSWPs{6jqdJn^U%XY!olL7@Bc=1cAl-Q!w&?agGTT$HkVr#>>kbqiwHr#p{B~6D>o+x z6lPX#PJd-77zm8qv8u{55Cr26VZSKaC5d$iHrm50Mw17yUl5%(S)kp5NU<`^KD_TV z?016I*DEh~U`__c9FG00I`4GM(Lo0mVW}Wc9#NoNHU|wI#_}v@b9gAu<`P7j6KIZe zYxb$GZ4FD^Nv;SvFE2MQA}&3zHyHRBk9tg1lntw@Izv194)kKGjsU@-)4|XV=s}z4 z6gYrGKthKo2sRhwx*5AmbjoP$8fvPetFY+K9FU%sZNVdpEf5YLWHx9&B2aS3vd1mJ z+Mpys0w&#p&1sh?o11kxX#r*=r70L4lNu-`tB`Fn1ND%kcA&a?4Gri!&<9C63WO$- zOQPMNKx}pntR}G9VFog=m|4~iI);{=f*ox_3^mmrOwH<_)gwDIB04WC1DO;C8f=NE zfNdZM#voG9c3Bo#kKnNhvH+V45q20N-0VzzdEmO4 z<+1e>TsKQ9bhzCvSOHGJHjfLi%?|r9kr!E;#0xGvYCgjxz^U)wzeZj2WQ-*jK`?6dByQ9)PMUlbT7X zjIueO_!g4IQ4U%P$|pjSkhkA@K6TqjpNU{BH})gifEy`-@`u1A9O>1{Y{FzmhMQ6p0@09n z<@yI`dop}mZY1%|9^Jm&NTC{yOSk0a{zEz%qj%cubHHH9Y|*o`eiFS`sCX#KMTWVH3^Lu(5GC;n2wicR2!Ocd@hw z-IwU6jAp3$1;y_1;y7tPy`)OHkhCa0ddYt2&&mm0>`-AFobTw1sh8SL>6iA z0HH+64!cZ&V@;;6c5vVq6bA?zx1MNXa$6i{>-#g9`2Fg5n!)T5tcTQduuxf^ftzKHcG(0cs3ZUwo?}R5q}d~WK?(5C z8zZWq#N-aa7=a_}z*AQt2r4LpY#Ij#CzveQ3Zg?fNm6biM4911P&hzjX{TG@iIZxm zis2}Qt2!XT7AZcvfg+gN1(Lv$sszC$MW&HV+eN#>F52v@9fnjf7DNtC=iP$C>2S)N zz)R?UO}9uH(lo;114uAQ@#zf|K_yj8pFrve+6ZM#k!@rLg~K`+#^!)qWsuY%fddL0 zbxEAtBf^;`E%SulWeD4d!tn!0FiG+G4HQ8o4Q3oc8=**wj3Z8voub_X>k@8RG1~yl;rR6oxR6kcW<5J>wPG| zNR0Q^NT6U0SrE+*`%)Kxs*?hEl^CZ7oL3l*qloPqRK>@Xz!2O-A`Xb4k)XOan27{! zgfgbcL}FP9W-BAv?8;p-%iCdN>Xc!K;f_`Y$2>uH6W2TpVIolkhTt|5L(K2sHWEB1 z$e4y?BeBRv0{0saPb+s>E-(REHxHJB8^)A(3lhV+>^vnB8VRamV@m9>fdpHpxQzr9 z!NwFw0!ykA1YM^rvXLat=@H#<3+rJdW%fG24Gs3+u#s^wPFAMC`;OSiKvi5)97BKv zlN6udKoL~ZU^Wu85yI?ck&OgCm>gV4D!Xpj&af=(QXMk*w#qJ%;$)6xWS*G4P!*RH z#}FXFB*o`9Pz04Un2iK&gd!=jkvwji=iK1r0e(eb_j2G@CUW3pNP~4`chWR3I+2@^ zPO-9tjYMp+fy5{&;Vc6bK_v}lBS9OXNQ!JEaG_*)iRa*58mDqp#)G61*u5UOk7jv6 za(QIJ?qvxZiN-MmNH9r>`5oLwf<}U@(~xW=7THKH51bV_?RFajrh?+ay)sOXxv7E)-g$m`5oLwf<}T!8j_8~A{&XZE5@y02e&-|#?%Q;Ku(v# zZlhuD!uq8=b_cOPg{t^E#W4g(FiCM62`GZj-e5Kov=Pee)f{5La~;m^d7GP7jvOdB zTXDicA$WIyV;d{Fo!~@)pJ=m$jYJa|g4;+eF~5V`NbsB>V;Yi;#3CEXK}#OH$b+)A zgT`e!@Uf8qcNA+$cJfXdcBsgkSFe!>8;K?`1h;acbih-jDfww!zbxPPsG=U+wjYK#SvB7O5APF+2A=yYAvXNxi zl?fgWbg$wv#Y(VP9TE#ipbnSI14m1+P6^!$Rk3wS?6ZLcTc`N^28v)~3M7FgRSAOH zNF1_}SdUW_BpLjflpPG~=D_XB1@qW0@NnwFf&&)0YlEt)q%k?*)dX)uMI>gY=VTK1 zY(VChR=x4YwGvPSl{T221Z^a?kBxQ0YfSDKcrzp(-chB!Z(it@9H&`I;D{+Xz#Nn% zCyXq3$=gH^1IK8Rn}u^GIDc^x=VnmVOkNyKfDDrt*G)hXRNi3L60{MTyvSYxMGG%! z!JAtg_`@omVQ_m&yI^y}3t(Wt%RHP&9Q|^dy@ZV~F?xENNgZDtfuW(G@&>b+ppDSv zMOKr*^KjM+7c>mVf-M2t8#vCV;gbi>A)N3Ef>UzfxWj2y6DBV)dV0%Al^4fhXeg+> z!7L|eBQ$xD?F27hI~?GEZR6p-0?>z1ZpYnV{=>B+cpW=f%1(~2W;5~HWLpHz7X zZ57;p;)2;vKoFX|$bu4SN(BAuw83i-U{^SlI~(=YDL6>9vw{rA{p9#+78E8gF?xC{ zN|hJKWG=WB1tdYVGasV7$c~a64zQhg$p#i8$O|t7z}KaZ$lZX; zcG>CT1v@#PHJb{Pml!?0U8Ty4@ASCfb`_8W8DA+hd3o)I4g{kmIEkSsc*B6@;1wp3 zgB^kg-Y>9A4tUX6CiE3lHN#)xnhxlU0LU*eSr$cH23LoYLkd7IAmS<2DwLqcl(i6*!oU1#N^T zFtV}S9Pegn3Z^u?$P1W6Ic*L~8DS32HUxNc!y}VZ+T6w>uJCx=$l`IB28y5p2Q#vu zjnD)}Mi#76nz6$bw9F~)dCEbn!v(`EJDqmHAyQ6?^jhQ1jV$6O59qKdjpH;>1Qj@# zl?82tCNQ$HTq13Upb;*(%Y%6h4i(DlFM`tsAnXD?Cdu%Q7;Z)L=2jL(j2~`h@i+`z2W6*~x1}5++?L254=J!_X1VjSva=(i z2WDlcp&@j8PF`vSvK6G+Z?3KD&A|>H$05>4A3&T{Pts zSvPRb6K7#iRb`TKN5ti3WU4_J^z7-a7|9NCI&eD&8qiM{llLfqB2I=Eg5w>|SZ_?L zR4{D(F9{3CI^hL~JH@*=8^yR4>;ktiCkvms14#U9T#-S-El;4(%V6f83M6_VOi7T*;c!Xt=Do7>g>?*jehxflXgG?cD0u(M z;b5Es>Fyx38H z!BX@vyq(zj2;Ms_zZAHE03?_c#ApRKA)t|7U(nkH>Wm^rE4W<%jRciKJ5_;8e@ddjNz%yHeaFu{dun52#$_;l3ihGF! zSGW)dhw?bw5NJqn5=OJ&y&v*Rfnx!XU{VmH6&!J)k)To_P<9DC?BalTU>brCfbjsE z0L9M>9K%JIU3R-!!V(s|cRzk9h@C9ZU}6x%6&#bHk)UE|unkTwlmomg1rBy=EbM1M z)w))?<9RaG&ZxfyRofTfoW5jwF~V1NXZ0v|4*&`V)r zNCAaj2r~)|ycsx&mS8!!UEqrX5D3>wGVBwbuw9beE($K12saIp1aD${2sD@wIC=wx zUI^3a5*)ongx+v9Mk&to5L|>;*0q-ffES}5pHboehR215q3Bd$AdvGxnX(0#)cw~k3|x= ziA@~PU_#)?4HSAIOvfQOa*GJL;YD7Ge%6MhM^roE6%@1s;q;$wh(Z8nGla zwcV7D7tejr>nlM1O61)%Bv%o-5F%T}pn+Kp6;1w^AOVK`V=yWH|LYN_lek4M` zCRt>Nb1#v^Wx_2KXt1uv%?qFifk*wqH60BE%y9M&-q{ez1Pp9AkcOBc0BLXlPlKrk zen+AUZb*r)CV`nEIub)>#*W(;VsK;zlAvNBWELerU+8q$&?{kqC=T8P;g#q`E)N57 zjcM>-B_K^SL1rAVfCd{4+`s^epra8SnMH)mZV0^ucUqJU{BXh5m}bF3l9bRWaBmMj zxvXSzy$4lsNF%&8fd&(U7_Z>S42=XE4GAH$z`Gzi6S#Ca;l^2+RIq+&cyEPr(QuFf z?+a2CF&a>n5Ccanpuxnz#|tQejRuee6$2qNT(?PZ$O%hab^tP~8c4aOVtB!6m(?&- z#FkVdH`c_vj6h=)gD?nzBB&TfY&Kis5&~y|7U5JH!ZV_a2ndk{prC}Of|FZHg7>As z4H#eIP?Z=B9Jhc56N4D9;NT361RV_o&Tuy-i7vPRre$~!3gQRBRUw>9+g!X74o9?$ z;Gsl_L2j)H4@n6JXu==_ilC!mL}=5|KoBi@;A~7(;($WvSlBth_Q3(S*fx%{D*{4j zKXN}Okq}L|KLQOl8pL=7hiGUd=x88_h67Y^#8vllB8&$3`GI2%yw?NKoM?C}7^1Mb ziEXJwZmww@6@Ug41GhAQBIsxY-(5=x#UZ+_3%vKh_=epacz!{gMF$Ttm3Y`&u!7*B zMF(NHLRDgI;HUsJm>4*U14U3Vf^V-S?|C+;R(PArCcrToXetjJWI~8YWf!Y|3=U^f5Ht^sDQ;LQwFn|zvJsd=mM2Oi2UW2$C zN?m+)kWov32pbR_$dz`2iK5U18xlC_Q)Q7DQDS7UbHTkHwiHTKRR=`h08CZtwz+$~5g zV!4}88{)aE0qd%nL&&xN|v_Z86!6QPBK^Vjh)p~Y_Um#CloJg|NqXgv?emho5f1@2;W6_iYU zd?q8gF@&Om#Lo=_Pw#${6%{9u|Z{hgQ}Z}YNkFyy1yx1 zv@LJ^HDjS~RMo(1zA{b`H?)Xr{yM6-hDhlCGWC->TB=8*u4lOb;&PV51to+lJ?$SZ zUg1BqIBGr~c|HI5BINx7sCV(4?pXl@;CjhX#KTU7?Xn@C4D7>AA!-GDYjz z#0yo(3(fDt|2PKcQ#X|<2IEt=+9)OvpG+V=Rd@@{v%}9P!Z%%i>MRqA#rV`IXNnEP zCmV=Q6_?!3P<%K1d~$ey>XbLdVSMWQIuJBbTp&JG{1HO(&hYbz07%!LI^{v>0Z8#w z()TqVs6Y8Ye5z>R2+9A#&nJQd9iMu|qDKRTPkmnpf&)qj#HR`tp?O&N`9x@;<5SeF zX%QQDeP0K{3rY;cCqk2ud?@^UA~@0UDe3r>Fh2Et9S~8Z0DRIa+=S*O;p3A=aHHcB zj>C1O7^Aw*rURi3Z4bmKf})W8ApEQ#DAF^k(~>lWF{Ne;== z!OtjxNN}XBD>X2xcsJ|!) zDI{+NKO+PnfuDk|6k}80)qxO+4unXwib$dPBluZCh~)P~(3N0J>fnerz!BD81~kIT zR4@w70!pex1L)c12BG@=8c5v`(8Xg&pgRuCE)y$N)sI!2Knfvzmj8bXK@ z(zg@&ThtIo_r*lIGK>?w+6e?WG{TgSzKO{HG=wSoFCo&E899NFD!Q@&eZr`y5!$y7 z`C4QUYUsXjNLPe0qEj49Ajn`;R0!=GhWt-Ms6c+Xkd6Z5N2ehe12PyF7^CX`(7ve0 z|1hNcb>GpWE5i8DDFG(XksqV#_RzkR$Nw~>+YMiLqaO(UhC}(XCEZeI4B8z-L`GHN zp?v|5pBbdW4b13ALBFd|n9*66OduW3sA@X2FWd1mgVePDdvPI*_K;JD2kuh{- zftHY}i$nWj9e;~R7yG|cM^}RJp|cK|Kzf)_Rc&bBq2qrVQnlE(=je(tW^@)H6Ug*u z&{0rG-<9Kk8g>@s`<5IX1;&p~<1&FXE~9GP(7qka|1|ATi2PzO9f^@4_)aigS%6u? zs){!>M>b!JENQOmW(RB6@nTor0QK|22GYH3Aak8n6?15=YJNsZb6q!cSfjbFxTooA z2I{mx<~pmY?9iOi{Es8eb={O=X{<-}y&Xtvvw_TYR#oYtxtsYJB~Fmws#8~rF{C%;AjOu$k5Xi8B&XHIZfkJcL^0Pvm zBZ1SFt`uWb-`jx>lGs28Nt}vIp*d&ySm6j{f_QSeQjAeuZ*zf=i3@~GoQh0tdnoQ# zepU!%0>>*|DaNR-w>iUh0bAHyAY|gu)ka7TR(@6pWCGVJT`9(>zPAG*6Bh`XI2D;Z z;Gh%Sh04zgflS~$r7Oi4)%SKFWa0uL6Q?3mXg*SYRtRJQ=O|q%#;Crx10fR^2$?t) znL=}b^0Pu96L>!9N{x)_?Eo$iGI1uzlmcHK&?!-lV)EgW{{9;NG+tUmhQ&=a@-fny zL4W95LOI69P@YA5s(27y&ehiIZ)N55q~xQflskFZm68KV_PjSVOvuJKDM{&hAte$PF<<6S+HsRf4?%AW}nz5}Gn6Ry>2p`)T^mBT)IX&AZ zi(j2q=KeSw-rH&h(c5aS8QW@sf$eAWlVn#yk~_+otGuYEK3g+veL`qv0k0Q=*9&1~ zvht>V@AuTv7K+YG2YtCE;zE(tObDZUj(>q_X0DHI%}5aRYzum}tqfSflx+d;do_dT zdo|aLZMDGA_o%luqu0l_W-^F+wnaVLR_3f|%C?BJt!5BxtGQ-us|6-(YbLOdZOwp? z^lVFdwylg?$&_sgXIsr6*j97R*j5Wn*w#FG^xx^E&Nj-fXB?;$0P+HemAMPwVuyXp zkJc8>JiL8via~0&8T+V$fq%1=N@-@ZZxp=yVaf=n2v5D@SmLP#ppuBH<`9Dc?^ReV zSyW;W2Tgv8Kvm85qYStTWcu;2LRQKgS}6isQ4T49CAy*tW&+^&>b+N{3Lx!$X2%7D6*0d_5Yir_U_QvnF%rOcrhWt%SP(7>0%(HG*Sq46-_FOcb1gcSl) z<`7H~7>shr6`>NEiem-C6pq8*>ukz^#6YGOzzT~gb1q4flMcWrFRoV@iP;ER>4>MzIC;GP!2JLP_~%>sKhXZ z%ut%fQQCVpKpRjR$n@e^p)_rd(lmk6D2Eis5~WcEgE(m3&^Sta?+$1KN&}f*04tQH z%~6^rP#Wcs0$8Fns$eDnj?&&c1=@hpv;n1!UQ@dB3)&o|X#%BD4k>^oN}~#90^lg^ zy=$NiC`}ts+R~khHb-fQt_YZHSP|wZjR;Lwgj&H&034;gcM!AzrD+37(^k7<+8m{6 z0;N$7IRYx7sQ{s&G>xOQ_ojk2pfr%_SA-Qx)8;5m6DW;xNO3Gt8dWeG4;-bvHyE@5 zrD+37(^e==o1-)Yal$8*nnMa;iPESEGXZdv_TFsJ29%}^C{0`KooREFh8sa#0CSW^ zgr*b93Z-crrM)*Ev;n1oOh1LJP#V6@&J^Sbl%~y58fBXbV1?3}znOn4?Y#+M3@8m` zIsq7~y)&Kk({0$8CmV~)}cfzl|46u=UtQ3W#r5K1c-1BO@M z7z0Q%2&BEzSZ$>lbC6~TkVZKq|CS()Dwy$)Kw29BqX-D5y*C<+0jC+O-84j@F`YyN zPD6ANv)wexHeC}|IL#oO#$FR=3?L0O$_(<3fE7qH<{-@wAdPa!5wHYlRKYL;rYjnO zv^E~PmlzoY(!S9!pfqEJ(hwHebTkN*X4D)~9!r!)6%6udOB$iHG8+2VWElfSGYF%- z!(oNdj5$U#1V*DAl6^~zMitE1M;NUQfI$KT(!LQepfqEJ(u_GuGXzSb98v_8&~!am zp)`X~8vCLVZ(kdb8c6ku)e5N@bEIYnq((WU5GtXm5LQU7IVfU6Xg7hZ0j+^lCxoR7 zB5RJ;@UJSkV05OH>zMJ1cGi%2<--uHSDoj19n?FK(gl84d2IxkAyjP zBSO;^Vujr-!fs3m?LLt;Ksb=2OH z7Xr&!HHQ?!63bBqGa(R`V?ucE8(G7qn>E0>rT-;_&@z>RfOCl23-gVX!V=C=1v4qk z;atOW)_~`%0naU+Gg)&yX9+wj{4WI{`w zGevaojU;D)bfDEsVFl@&Iizz0q@x^C3QI^w70jeCg>>&lBxk^M&VcEb?xFC_*QR4Z zU^=JfkWyG;I;vnMg(;?cZzDMasB;ETxAYz5%t4(aKpo|fQmBNctHcV_IRxrN2prCU z=Rl>OOICQ!nd3P`EyeI0<&gYa;yJ2d7zNW+j_}+pkPc@+bIyR~oYkJ3Ge>jydNy1j zHHQ?)63tN)27$CCj?ml~eup!lIA=g{&afl*ztGB=gE&WkILaY;w*+xi!Hjq9(A@ux z6<*9R;5TQ$Z_a8v&Y9ykN8mTgAqB9+Z&bld0PWD+FMzh?;|$Qv8K9f9+KO}L(9IFh zjdDlM6+{c>5G@c8jdDo# zEg>3JFk>G^hNW+w>-kQNLeEf_#rXD12fC@l~ujdDm4EKwR&FcCpSC~XiyJJApg zFb#ydNhDgqv}g{~A_3DVhY$f}n=J)YVi3V>U5f~&u|Qa&0j7aQFN*=Db;BW=jWS#KqC*)76eK_%i)%KOTTQf4kYGJOfzt!!YWAuHJlJ6IvMlHJl4 z_Es{N-58D?zAOgmaHvF_XL;Y2QOe9^M`kl2yOsT{G-M?^VM8m#R4yf4~7gUGlhghW<0nQg7-%?)nl35uNh7jV3JX1Y!#w$SrdhBvfl3>(jQ z50;b?9OHCghD>roNMxIn+19d~o9W8aO=MT|%w)GT*1ZjxkGnAxJ>&H*fJ(%HoA-qt zrObTJBg3AM-O9pO8nT-6gq5!lTg`b(OW#|`Ty|r4d&Ya51(k?9HSfDXN}0LrXh%TE zZnZT~8nTj|*d8dvRWAd_`DVe<-+xRWGR3EP4Sm z04d#Y6BeL1ESy zIw{7T9Mf5jvNyBC7lN4QCdWGxeT0m}2tX`|0tEWkmvoG>Eb3$|@`lTE#44>OIpU+` zD6vmgcD}Jdj&}fuZY`*uJ0V4(j#BSvv_E1UNl+E##3Uy|e1rD!F-k6uctWlr>Z%ySrHQv^Br9pI?jtbB1Xvh7qtdOw3Nt$;8JS;Zbswk0Hj$Q2Y3{@d+K` z{l!*HG3HD$R!kv1-!MKm$GjsEMBp76U?QT4^&ihU)7iutJ0lKOSB$zqeEq>%^#>G~ z%_`QaKaj?6=%4QRNFlU<4)K{u0TzdkKMs>XcC~TD$G9A^+TI)HGiN0N6qt#?;UXA9 z8M-{tj@Z~{uzU2xM7K|Jq{Jk|w~dK+Lr_(-BDb9Eijs2%Wac%X?HQT1^eCB!Bq!6C5v z&AFT@se%*%XcdL(`wCWtHqMdMR!&ORlmW=XxSJ&=B*!S~2GmS)LabX(f^W!fi`0mb z0L5iBr!hPUgou$f^^KY^6ep+1iE#;TIo3ep<5MkyU4uskHRl2hRU`*K4Q)6&k`GYz z90a7)u*+XdEr1r`|7g8nN{forF|lovT}iSWj~9Z()`ba4G0`#cs7Lh`q9dh^z8QtNq=baHWX7wzQ6=~?h2%~EhA=mhJqbxz zX@`WQw#kVO7X;?SCl7R?uZK{nmpy&|7)uOu0u?cgUEnxglT7I$-=r}kfQ@USoP5jkZT zFmF5lRRz5GNaT38M!@m{B{CA;hFY2cJsgwLDT-3eJO3~nyVpx(Z^jWs+GI2|hS8B- z?{APdHi!>_1)**zycC!Kh}cU>{36k}$- zW`EIc#;rUG!dj<5v~4#=m73Trwqq143ACLNL@^)!ZqqS}v-6ZB@~~r8$~!?hFY@q% z$~!?hEpjX^&{%6U%t}Qfbz9pEK}Z^%(LbwC-|WnMZ>feJs z{LKXgGgDFvF!RzH^gjV+3Kb1tmJ|~Qd!G&ph(xKYg#1S%Rp*&DlN4p7{;f`k*2=8- zFa2Y0#s+K3jGwp|x5^I{ZVb`k#t;*346)(H5EpI?@!`gh5N-^K;l_{@ZVXZOu;VD~ zbTt)r%9;v0ZB2!px~9TTUsGYHu&J=q*i_i5Y%1(@HXU|4n+`jjO^2P%ro&EW(_yEx z>9Et;blB-^I_z{d9dZCAZ3c4xL z0k=Nk)k$H+l5+B{-lK$9AB7iBa3?A!#XDl*s5V{>8_UhWRoGZ>2Cl-!f-`UxHddU0 ztFW=;3|xhcHD}-|Y%DqhS7Bq-8Mq1?%g(@6_#C4e*$PkX9zF-E#@-4~{T@C?tH$06 zPYoYFhpg_TnAq6p_AzjS{$IQZSDX3|Z^PTlaw7Oih1K5A?~@WXy2{T|*qEVymcm9y z`B@4Z1Jut_*ytudOJQSr`dJDayVK87*chFDmcqv3^s^K`=BAFPFx21SV`%F7DGW7u z_?VcwehNb!9%ja+;-TsF1O6}hS^jU#OaCh|;bQQDw{N`c3NM4#>2S4;hSwG1k!NbS zbQJ`GlarDXluH;nDO{Lp1CHpiiZgmxaizTJslIX$Hi+lvC~U476CLh03EEq(;WC|4 zV;o_}lMe90&>RmVcP!tFEn(!4<>M)gT(bN;g^hah&+#yF%kuYA7#ieZ!R;BPM)vnV_K=lj8F zwf743Pi+RF5~5J7ie?ZvWw<>}`y(c&XZFC1%;CwId0FY%S#M{m5kA_a_kW{VYGz)4 z2vrb8H+5yF_wOH{-X}9E#aG-^i%$T$13rrI?kWevp5_nmpgl*I@xOVNWEW`>)*n0z zJ~DQAmiHr|q4JTX>>MckH19VYdgUCDo|BQDmx2HJzhXvUL>4wK%43y}8Z*jAm}Xio zrz6l$OaHCo2s7~^kDS$gZ%R!`JP+Whgo8xLDY@V#o|P`7GUh49d3cB?{l@mUqB){Ir5dR1dp zsN%a-p?ZB`Rj4AKRiS#MZ&j#bfK?$n=C&*i*hlCUDa*S0j!;ysdcdH(`5x~L%@pX> zXP=7D+P7{2X$nhiFkGh%h9hl|lIzUP&CX2E(b`ry1HLfU{ud25r8$b{M4BJ&onb!y zA*=udKT1hRZX<(+^NDHk0hiuT`@hPd7AycA1Nos*ef1t*SBF3$%6sR@iLxuk5u2Qn z1jC4;qG&9tAxh-r6g9|;7Pm!LM8qJ`%JeZvbmU5`g7z>To&+`0h^_=qJ>tC~x3KK? zaZV*8W)&bC!jGUpDjGEeEJ=ZAWRR_dtwOT`1)$LgRCi9_OZ&8zt91=L zM2X*!oDdIcZ1LHr5jp z3*qV@h@h)Q*Y^Fv4(Xbd3-QV_BHHHW4an@Bp5DK!qik&Dd!|8U}SV5+FC+l{(WMNp>REW_8U-RM* z(A2+ciYpDZnU?5s#%A^KM&Ia}tHj0!w?;eGJHhzj{k>4bU>^f z?GQZj5lQ{)Ww_9@-5;`X{CDOP#3IB zEA{mdQi3XK1AF@ZF_swS1S-ArgV+_#>SMw zC$4F{DXkeFkx9HMoP>xsg%hZ3;PH-p{6cUgCE%HXNU!N;I&@K*GiPQP?BV4cvi$pI!93qYv-DA zuqhPTd|3KP31HI!G!_%YnL1v@_ul|3;KsTb%`L6WRQ9tNw- z0m1R2lU;4(IOtTUUZiM|B1LLIs-BpT65&i}pA?@Q0h(HNZ zMRJQ|6v-@-U8H}Jc=#+A=~JW!{GH*W4*cPTB1Ma$Cl?l$wIGf7qj*XS+o7V~-+Hn( z@T_!|gFJn9$e*8s{(lCjy*z|cQ5h>`43wWCpM1BzU|+2trZl@(@J@coqTM$AyX024 z54X)_eyvmUVO+hgUFa7Z+Gaj&qvvjZux(L~DUZ+WS^U+Z59WQf_sRa;f}7(WUQ9c_@j~If+`{XB-Mv;Yr112y zxu*)x|5o^5S#;~i_m;ITy!b<%ldT`zoZR}+qpO2k=ilA3G3xD`cmA$+t?=yQw1P*+ z9+unqc+dQTA-9&*8UAQa!TQ1nza6Vn@aS?vLhbeUPVXB$xpv{jf+rVGB(&aGcx>Ol zXHU#6c=TjtbiF61hCiHr>dA${%{QzoxV!D~?0sn)?>)FWu|xAZXAc&*Q^N{>*tntaNmK{NKkFYZUf1o#Rs#z&T0g!r_xp-ztqLz~ zsCs+L52rR(dlwqszpwDd?A#~!_CGAwdf3f9m+KVVyR)p|ty`xG9$mlru<-HKA0GXZ zQ269@TH(K^e=HnQxJS4)r{MmbZFM$2xG+1n@WIW&g-;&u-#Gm4##Z?cPjB3C-!tpZ zu~Yds_Z)8hWcQ2?xpf}jT-*#Akv@plh=wQ6nag1e6&4sQMU z(bc;Ji>I@DTXLrt?#br;wbq4ct%rYYJ9&6{{p)AP6+FB% z?%I>Nxy_F}x;Yux8dqY_j0eZY-7R={{VWX0t^JehoP1nTSQAt5(kCYh|9s&8F}u+ zwQFg^2JSmj@Z_J%hwtY8leS@4v#7sLTps$k!#^)4)Gj#lXlg>&KRXwksaM$HOaW8a zWlp|xY~iX$%gz?OTbLPB=27!sDyaXx_0i3y7d!2pI_+Gi-^cB9w_LL?vF*bvd+N+7 z7`Ab7vBKMJAMKdkdfmMTH@?d4w(-fWU0*dXcy#*lS3^E3`@_|@?q0cHt>En^|K5Dq z{N!V1#*LiR&V4@k@A{6(w;gM}?%}oOY3qmGyhlZyzF508H@Kl(Y2uAtTR)y? zOE~vKb?4{Z*X_x8?fc&c*Lk-2>CHd9)a}U0c@NKAecYkm^_m6e|5?#{chAAqKA)fc z-g{Fnm!G@(<)zW_((H`v?>{Q|>|FnbPZkf{zGL4Pt9Nx-T~K&OsIudFWZzq_*#E5A z`net5*S~wTOP%+c9Itb}QTOOj$_>^bFxjsLA@qUleM~Avv4r(S&Z9Zb$ z_U7}eFNfO<@ad2{P^;%nK#P6|Ng;2-LK!Pw%~(t zgI?T_=W6HfR%OcD6&4OD^Zvoxi^l&OJ7;LgW;fW4H+P*l_VV$87lzDeHu&m|oOQ!COAq-{92;ovK0=eX-uJM<}ke$mUXiBlI&IJ%9gv55XVc6*1J z`3);Aij}6m`$66Q4U=os9ojItSMj{$nO!qqoj$M9%pQw&zi_c(a=!)A-na#4V*7tG zJ9gX53!*S{0<))I4qc_!th2{6UfTQQnTtu(twv(61b)dz=sZTzM zJO9hvKf6}Ab>ryc8EG5tJ&3DwA-m+vm+8ILE_ZtN>7KC{(z0JYdaI!8V#gm*ZJ#{o zb#rB09X@LQL1xB|G7om0-9eAGmH2kc^uD*}M*Z~Zo7)u9~den$fd0fc~tKENA9MSg8)h~AG^2s}~#ps1~rz*cn zH~3eZBtBYkvji(1TPU*e%Y+=>s zGB%u-8hu;$)lZA3H7mTgcf`ftey$YR|2L`c2wTY=uU|b_`KkW4r)#{%JscnTN!7HU zb{}s?&3$SFGk^Yt#FvM9I_&xB?1YNW?7@kiovX`yEY0#b-fvvLMb~!DCDZcmZatM) zcEE%eF245Kte5LW{kePC;THQIb-Gi$`sp%@ZjQBO(9)ZwT}v$e!iaB?7+F~{@*^o zbcy|iP7S{sKlQ=Ah3k7h|LLB*1#@eC`tYk8#UjtzE*wAk_0r{Q7pL3aNOX)UQ#0kI zC5KWv9%ah+IwopQc6JbhK~7j_Yfs_Dikbzs76hra!I|ng7v*H}@CIKD9F8^{+nrebs05h~Gy~x{$#( z5)#`MUsdElu~%M&Umq4rbWF58n^L~##CI-D`f1guORr2~Mlas6YNYZ3x}oy6y}#ip+_UOrpG_QKI^-Ro?NzC81X`Bg7$c&$d8CGJo5oP6bU)R!+0Iu>=eYHIrX z2mAj0rdYAkUs+#{eZ1-gaZ-Hrrrq<`pFDKv;h`SocDJ-^lr9a~6_BX2RuT}W&nz@Cy?s0$C zJhp!H#!Q$WtJ3c!Oq){vcBQgomu=|xO6kn;&u-~3EwNAaCzUcY4%D0f)c5JFa$b6- z!`{&iYqZ!sy8rZA%jARe6NcW(YF?E|i(Ir}yLdlga$51FD^9e%*JXQ^1}PI~7P@Qv zwZB!wtePzr)gJc8{Dg<^RCMMf%zLKq<)6OEJ^uEsta7gpduEkq_tog5M|-?}GNad5 z_aY~)8^D$P^?L1^CtX_}E;v1GzwcudyKdaryT4xFkTs;-v)AUGF3#p3Ve{V^TkhBE zMQgTVpRMzmk_CUqZ7KQ2y&})nnKicD4_Wsne!6Gx&A35>nx-th2A~hBg;J zJDcBU*lXOMtw&5Q-m2LTLpN2gnzpHCvAeV1E_tWh*S$-3j4M_7<&w+q6}vF)og3Bi zYef~UZy$1?7*l5OkF&ok+q-4$FIv{@{nWC>Gj=oIO>VNeR`(S7UGcYjd&_)1Dlh%S zcjfMPNL)5BV$HvAhzouyGQC26@uhD!uWYYSqVap>YYs?!zUcn$@BCcq;Ly{p+m%oW z*52J*IEF?9&yV=Hywh#7*Bbr&`Wa=vzA=M3|0H?y!OuMBE7sn8=$&bgmzTOLZjO5Y zbN1e>zI#@uPPSJaRchh3gQwSa{&C77=~2QTNA?`r`e0<0=)am3+vkYCux{tgishbb zmOT9IN6~ymMp{wrdZiV@uD-pp*8WmsEYN? zwr02TRqPi!-a8V1(DTxi$gM3re~hpC?de^2${x$P@*R75>aoUq>-@NG?0d7aA5W78 z%Lk`A#H|t2(#!vB|N1-GzBN8|!~5;WNt=qSZ1>B@m5O(rQLvixbT2*TcKxC4E6$!W zeCNi#t__1rxxe7>U8Zymq;`TOfL&=}@}y+O zzn}aZ|IE-B>iY5eUqs)Y+;Zw$Z#K!ko5yvn+OvC^k2jXE-}-HIt69HBS9V#EFjOo(pF#mwf5vJI5#YIaK`M$|}1n4JuzHCBN3!Ghh31)5)lf6TZAL zTbS}zlWjjgQ`!0a!Uhv|Y@IOS@s;U^D{rY%B;(eNNv-Dmwj*;&`=xJu`^`^lCvBMa z+YI_a()Z(=&1;l->x)Tqru{aRemlvN@bh0WYixh_`k{0Cs5>7IXmm5XNkb-SO7)h# ze;ZfPQ~CLt)Q^jbZ147B?_~|;6|+|_K4-t|lva${I?DcS+^AW(R{4mC zcitJ|d8K9jh&j6oYkpI=f6>BS^=GtO_r|loJbCZlanIP3`wy+im}ei#|GTM{?#@sm&Y~v z=*SnB?oU`$ZNTo4?|1r@D%;|9$Bw3h==b(4+Iy!=mv645=Ji@W{?}1udyW2acdI$o zFRZ%M^5l_k(z_&Aop+*Z`x(98eE+9*cCpNX>=CnUi7VwRhkC!cch15AMXSBqqukoV zEw5kCxY~G8gOY!}xo}POx}Ohx>#rm0A2_$|uk!t~HN=-n+;9|cv~5!i)i>$h39e~b z?ejCAk1Ek@!ib5Rxd%_bcKz6?l(_5csS5jgHC&m#W95{q+h0kq^>edc8Kdg&?D22A z3X{$bUwM6NOpk5de|}+H6to;?!5GHg10N%!3j2N6&f2nb2@vgHkPLrqs$B_3PA_?xoWkmAF>z!8Omm z=2KQ|dQzs+*y)j%CX}DC>y@ba3uaNu;!AKJ?F(i%s1~{dFuYj{x5#_Pe(^a>6Zr&{V`(>y|vc4m^adM zzlyvt?AubVj-%@~t@^3*zq5SR#asVvRP25!$B|iE$Cn?yZRAwB$mV)Q(kr~PykpLp z`%gMtF0y6m(+~Sf6AurZUgo+Kv)vIvH90$BSLBjX-XfFv3*zX$D>us zZmr(*yU)j@e)nWaoo=yNr;}SI=hVDBa`R`-3OkoAxqc*V`EKsz7JK%;^!u|%cAjmP zTXyi!M}N7dd=}qkj=W~#ts^NPwrrj%E&qJM>)qFGu6dgNP24)KkMnH#G2d7JoIh5k zXo-c}B34!Z;!5>LHA~e?`1m>Kwm6yv;>-uc<$OW&Ai&*=?kr>bG z9aE}T9@HmkUZrQ2F7LfFz3#qFONNO{hDG$aXixcM_K?VlMfVI#&Tf(V%Wc#3>qL&P4)VtH=Z|e@Zaeib* z?J1Atw56Y{&08YA^>4?udG~83kL^CG!X#=W<>^?m?r642*UTA_9rk`ZZp+lJP5ziZ z^}8eWcGant@P1sY4=457c&X^&j`Zi{&rK-Pb7`a9x2OHo?LId`7?O8o-uZVMU2L#q zz^!u^vP$2t89CN|s#4XMQWIYsedVdm6-!V5V{lY{xpJqrY`J@VT;r>q2iD8k{8F-18$HG!aE7XSnUp<(1aqs)TMZbIT-+QN`>%@)ivRba=I#+l5M%$8k z`R{S(=KT|OVEwqYcb89U*|h$tk9xJtu3t{RJnxOD%HNFp{C47ZwLhA&YVQ4ByIoryO{X_F^4FKm`}|Vw=maV6(B);+>|zgwzEV)`uWLmgM{OEk>Yu~StM#4S zs#&$`k6csFkF30hz0qh!uepU~J3N|rcxv|0$WM3F*tK_I;p&f;ciDY3dCfm*N9MfL z_1y;_N4>SX-LTz#E}vT3U`DmUcRDUUd#C!t`nQ|382Zwcq>)EI_$J~0%CF8`dhPU; zh2Ld&Y&kT0`l_zK?@I30W5>}~-`Uup$^AP^^Y2XC^b@z_!(Ok|`(s7h<jgj;WS>VK$7k4~)zABy~a?W|rW>Xm-3<)kZ5=bftk^5tqxuARK#I##0B-WHyd zqdx3Z?fZ*+-!5^e-Rn`K;&z_z8Q){(&EK;3tj!fm583;2)Uh_bCXZ>q?1w=olDmF7 zX~7>`{ur3hZ$ZsJR=)Yi!03J@dfOPe`_;AculLM3(IQPKvi`x@V-F9%{e1Z@&kTFM z{Nl^gKS*}=Yv6vh@i(K_Rs1<}-e;qKe)X?!@9w(uUUKxjFGgQ^wQ*$KA1c1|>iDY8 zY|m?>Q^!wr9{;9%qcN+y)QvCG?+526mCxji5u0p1QKnzg`2EB`%gTRM%L_mb8Po9Lk^T*Qa)kPzOzPNUX1TSnUVm$|WIQ*7kc zl{G)f8Z#tyJjl|i?wr)|y~YgbTz5{DGltA+N@lmpXWkk!q}}+7RnEwD=X4kkncb{2 zM~F3`JR;sxw_DZmQ`?Q7@${LD%4agw>`sBQQ^$81Go(}9oaW&p`yG*~?(|(12ro%sr<1_7%TR&~*jNCf?@`Wp9`kh}Z{pdXY zcXGqj@sWq$8+L2@2hRNS{Xfh*as20tFP>k!X8OLEp9l7joI7>e*V;gvnL zx3wEFazWK~3vVs>ee}VRWh%3){*3!lnBH(n)s)XZTljQzmzgJ1T6}vc}^_-!^$ky`De8|F5=*S*jGix}?q0Hx_M~-8FYn>u)ElD>hO6 zKk}`o;~wo?Sx1&=V+^gGxoqoOHso9qrEqOa-)BJ_CbN^kxaQNm$ z(NDLWb?HXKjod)xbFo=9uP;~*pIhQ$*1HkC)*UfvAJM7l)O;+y-}a{oBes| zsojdmXVt%}|DAW@B>Q*SME1D}o-4i9bnaSf>47>?cgj+I&e!bw-s*;3hn_0CW4Pnj z#!tQH{Izk9`@04X-M=EOJX^*we|)=!9oFs2$!&4xxsjdsgzQ3HhXp6kSq0j z{JgVD?#N3KUq44RIya%-y!u17U)%P=!->0ZQl|*9Z3A|Ha@}q9a<5TQ;Q9 zw4#GP>vH9li;)wye*M+jYbSdYN@+I+9ADJ>@0jX$k4(!cJ*ecD-#nPwYIMKJpI*wB zZ|_fi9CPjH0mrOc6~8V%vc;zN2eq9oeb{h)(as~gzhC}9(LNX2%(^x9_~q#h^DlnW zt&%5wUBsH5_15gHIIX1Pt)1IlYd$abYsC+b6yH6s(FcEQIoxW&?_ZRAB_ZO}j(VrY zEqks0mp$uTx3_up`UhXspIH0S7T2&LUzcnCu;P+&bFL2>b$jR6;~rd3tW*1=aX%cJ z{r;qS1v}LLOMaCBo%To5$@%A=-^En*{-1v}_I&-zJHMV%fBo8>Q?g$Cqoex&^;LsU z=bx*6U#P14U+tk9wL3rkRh5pPq;5KVH7F0$d;_^4QY+CMxf!+HZ7%_eRbF=P^?$fch_;}NqTg5k=iTm{>@!_U3SBf8f z|HQ{)O?~qf*{G6+4MeuibF$xJ5S-x#0};^XUE`SKs-w`r(>phL=Jj&F zxGGLK2Q&1mdnpfGFx!6F;`(aHUzN*!dM3Tcy|}lg#Wa8C{D`R)o5sJoJTJ*vX)ZKf z?u9ws`wba+W$d;pxi1}?-EdgZn%i9S|7`j6#G93y?oD2vH^({j){*9Yw~pLap={OY zrq@9g^}D)$-3t#(?S1V}nCX@3ANfBtU3FZPO&1kWLP}{61f{z{x}>|im+qEUx;v!1 zJC{bfTUfd~r5nFz_5J=id*Zn0fbjT|jd2*{gHo@-^orEU?NL4F-j4PS6$e zhIQ^@Ji;XS`V)9st+Hfs1Jiw#-rk0CI2Q)UOoV)e~yH0X&EX@A1h5a$n&xz?6^(IKL{2d_hCzL=!-_WyB;;_*e6<;F>ex3l;uroOyRX zTL7%3D59MvwCMu`Uaqc&+pUjvi2udQH*m~$zn?}##5}Kb#y?kjF50sFtUPhfgMy+f$)1r}Bd*<)bf$VD1-n!s;MFGxYwjzt*mUV0pqx=tCNe z5P@zG{-r1qVdmX3uo8dX^@;mKt?x=my39j(R|Mm3b^i%xk>bD!_xdR040SIhx&8_- zv*7{w{S#*1am+Azs=gYZGgZluIOa!gpMsgv7q= z1vl;oOI$Mir3i%YvPlfVTxRI7;Zj?eP-s(@l@>{cdr){*dpr*G$CW}8yEx{EBq}x;8`1Ab z?3DDzdLj0DZhj@C!oh6*Vnu@f!epM8NF+?7!w2|}w~PgAGCP2IZcc-#4d#mo74m7x z#4ysPe`Ym<-r)E!$M*?Z>H#WBi?vZ9H@#1#1w6edetfRgmNdgYDu1mcbUF+kL2hj zWa2}0b=6*TS{hy^eCFGvug%OQ2$9$3ALTvyePc{%8sx^$pET&m9S_jKj!DhAaF&%Yb?^ClMZ&L!ZEcY8m>k-9is^dLz zd#a|~T-p|!1qCHDfEFLQs%n$Mr=&4GFoQdPjLI_8ksY(lJ1cFk1+%OlCr*QV91^GJ z59si1UN_B<&mIM7De9j_b0ULlmMkpj#hj&374E*sdX$2v?83HGVM%w_6O**cGq;$R zx>{1MX+&4ileEU7O2J2VG(+WqqW0Z%RE%t_Z&1LYOBQCB5pX;Q&&D6A4H^Rq@$roX zfN!6;v@Mjwpj3bFB`O8Ou_ByWn8m}OFa^wubko@a;~BF|K}J}7L;5flHCq(3V1W=uU-+2!6Q;k39kiZP{Lqe+B$&IRRv| zV9xgoIP9&26K^Xyww@J&VIurrzQ=bF5Y}Fs;LBY<{ucPTIopf3dl3f3-a`1nED#Kf zkDG9;g|~ugCO$%2CkOh%(z^zLMnF|Qr<-}loe0tQs>+v}PP8%}U(X@UJT}U@h_SS+fKwtFi@Q@i&TU7FTRg%*6e}yzX2q1%v~2t@JQ2ShGIrnjh)G zWW%7G3E&L?29m6wfpEYYCE;5O`@ymHa3@5=*xbQHe6wI>ef$>a-HH=I+e=6Lp7 zAhIKQ`7-`Q^jy-bj|adWoLMA~L+z|DF6N=>#Cfk7Qf`4&`Wr3^t;s+@cmsrfSOo0U z7f!oXbKsR!yKhGix+Cr+iozsjtg)TIwj*@Y6~nQy`ihdk=vtWhvn~mJM~R3I((>hD zV->=)L2Ugs5p2>(CS#Kk6@?!`duSPhkFSs$!=&gbOfqFB_3a!bqG)npZJ2}A5Z6Yc z#q*D@EP+k-&p=V>ZaTc3_Uw0T_?)?cC=u#`T2u6^6XGU~0l-$83*=@2k-);t;zTV# zTe)|!36?xJR3-uWRHqehwlkqjH)-SXMv+vBd4Mjv& z3TLu+s=`K9B1o5>M|wi|69T8!P<`^#teVw72hD#D##+p)7G}r|MPI8jdrZRaJF)40 z5T?)_z-uW#&d3@5%aS|rFAM1qZYtDNCve05FP-ndX?+#uttJ`ga%z%(*lt669m$yc zE4=nAn$Ix+>JXKz73tOw!*)6{34^l^i|r(xv6{@XR$!iZKZ=dAfK3)QHv3no`Qe#L zhhIl0IRK9MXW5$V`xjHrW@Tok6(4Q*{y&eFe;(UfQ`RYeW5m1uAF~CG;m(4g{?BzN z{NH3CqyHxJtN!&jpOB%ERfE|?1La3v1~x5L==|kL|7IH)m--h(dYu2a0(6I4#aa=+ z1`)(2kK*~9PT*WdNdhi50>X(yfCU!CY~Uyw2sVT6wnc+k7Vu`WtMo4f&lLy}T+H!j zZT&Cvy$;|4&HVoVnSK7tEZ+0~%xnK;t~viNvxNJ>g91HG-U1|>Iw%p0Ss&R2{!2ei^j6i|NNzop0Nrv1<7bL@XU#L(;u*xOo)cYsfW$RDRacZ+J3rCdp9-;=o|I+r*0 ztsT&;Qcz>rF3U-aZxkDp#Rk3WL|Tqrz?&7st2?(p`wl6S`+Oh2zW?sXZqH2S0vmB^ z@4cf=*>Y?M`{ph7>zY^Sn-)C^m8y`l@y^Fq? zIU=MxMHny+3Ola#S$X#zOZLt%#nXsLWa7d#mS@KY+(^=pQlJ%PZ?p$Br_L( z0%ec0wlczxS81c!WrS2REkr?ztlWx^*|aSrxiq&fu3`dnmZN5_KjHa#I$*hvWCEvn z*34YhA-Rp@urv#Vvnm+lMGf2|xq8TDX0AUVwXdyf_i~nDP}3`HPUZWmbvZ>TC}@V3 zCerWrT+ApqFt=RnNNPowR}3Pk44yLxPt2{N7Qd&D>PFSwu{9IU{XkR}n` zS#&|e*f>evdNYEXp>$H~SS#DU537+|t`6PmIaMw1*{3`|-g&z^_J8V42N4WOw6qvE za_5{LWuX-voqQnAV!v#iN4zf|oL7?NtG~6sbfHMTX567~f?fJRTZ)Ys>ZG+bM`|oHKZ*&-e%bNCql~wh`EbRY4GOmh0!&a-16uTS!)|FM2&q; z@&mb9!<24Z)VsLP&S74DX_GmJ7 zy%Aq_Z1E`ZIq{V0Is+%BKlHHV5VS0lT-fhwp|ciy4r@ieO}f~S(sB&pK8-CQCmgbB zJxY@j`W2WnqVf5Pa|fG0iu<(YgKEcBU%HsYM8p!B`;2RrqD;|_;4hYyhK!mEDr2Gw zd|qyT#p~UL7Tu8aLvimP%dRJ%*s$hG8!z4s?mA<+{+|8F18coYs`$POewFvc^NU5U z+owiYE;^|t!3=D&g7jKSy`&Xl8SX#wz~^jIxmzzj@g=!@$Ud4;$v^rw-u>VzPlDrl zA4)jId8p{Jmzb+yoVtZj3C4+YtSgxsJG*ePb#PJpcuXKLPsPn)G(CnFe_YLNS|M^f zHAZ!5vx-6=TNjt-wYcb@u)rC-a-6;r2AY#d3=m0nLCq2SrN1MepYFG3zN**qkm1sv z(?5BA!R$&fxt5ooUb!dcM}O=o!jG?KzDwhN+6R1O=;>scyQ+H#UWyxjl0=B{B57(& z?b6`XPlwc1U#_b|#xBU2_2HA0?F8!K=i6%YT_R?QQeT(nY_UB3(2+6zONqmWx(h@v zuCI=CuQFY9v*ql8-}QZ{f#3Ca=8;`r??P-(|LnF@>a~5nYZzv=TSxqGdOe%4zZ{6Z z)X}}%@FipIoba^40EXlAE4U4(V)@yIu?@uEws?=F-MG1|>yWl$t$v56nq7WJ&fiU4 zSHHYvsvgjQpW207I-#ng^uqwleHDSF%$=4bfJ!y}iLtjNDi{Dd)dDC={zVc1L+?Eb z)vwIyWUDRKH!us;>shM+bgm}^)DR9pP1d23tv;AGNi)QPy7uaxW0uY>a`%=j5}1do zuyiS<7|yWS0YFi~+Dm;E3rqpZjxSK(hB{U_P640-K%z$+6pi8l)h2I?N|o+`Y#UFN zcasZ>Vt*0mFM4o76{){i`5DUV|6;)B1c0mj#U_pfDpj4`cmPTPp!3Zfg607r5hE3f z4=GUGgkr<5gi*}W0>_$U!s+R zMJ*F%l478evYluS7>Zq!P1F+#j98!sIjBLK0SL%`K0+=PdYY$FmBH94Ue-;yN+@*k zFn4E`JHDP`N7yP}UP-#T_+`T|>N}*=(pE=%!&AQ~wO5}4G+bVwUcY9Yv2dJk83+x1 z4Gl#zf=;6u`W33rtGQW#llYneUE--tsjS)iulE|@A<5w8n^5+pt_7oPfR%=0{K$ow za4>mUw&1Wdd3nrLE6l`&d!BCyK#hZO*{V;A?3P=AxnaD6r6t|!)EU52_EE;##ci7Y z;}c{Wh~mTfhz$iqXvEC~9A_D#1%1i&b`4B8RP6)|N%tP6>P;pdyTBwBy~!6NHc7VH z;1-s$fy-D^bpwNl?0?O*%eYS-MOOPmBvn0GF{o=K{3;CGNkbZ?na=-?uHtrJ&JCPnKg$SUg9 zo_8s{jT#YS8(t#!Bi=hLjegSBR|2s)H_Ln~kIz_fBnEF@G~>5~`4wlJVdTgFqExZ> zcTJ9+0ck8uf*G(}C?_+CZMkor7Ow#u*$}+gOpsz|G9$_74eq%EBdT8MAA_lD0f&ZB zBLibg_ZC;{H2@WmXSa{JZSv1`lD#hP9GUUukaunSD`Nuosyyx%0XH-rgL_ zihW-;&ip1w?lft-x3>_<=4V!t!mOCtwGZWICgI*m%__+Sq}K{!PX|D99}4c{)_Y~y zGYk{Sxa$K)&R)46?*!+wc4sIYLo*rUTC(n~WY5G*s;El5d$b^D1IVwo_oOS^tCb#O z@Q#Q^Zv7iAq(3vq6|2Pm62q4I%mC7lim`MronnD`|LGoZxuG5>V!Ax{=U<(6F?~mjVF--E5Fzw1=fb<-KufOsz z`*~$Fd0%KFen^f#BAor5=gEk%xG`K;8~f`-HNvYcHop%)IZ?@$4Bd<_(5$tnamHWa`npNekXnM z_tSZ8>>E?xlgWd*N><{qFQi{YmfYlpST~GnFBFKwn=rflF`W8UAjHL}^cwaR7R1~z zRUuWUEkkFz=cTw}8L}F$c|nM^%R6Pv9axrVL6NqM=<}hMFL*+ec_vLL72F$D^X`61 zCq7NQ&C5Fcst@N|HQGAc=w`^4L|=qut~+lsgC{eqy43aQhY-NNcqJ&|iG4%e;i-k1}C?Q_WRjbs9CIB_1|M(rDLS z+mzpl`N%n4E`e(NfhyJrpZMeol0M2rFhOd3c_7D=D#1f+?OpafR?7+Z>ZgLUP3(zd zT(H0qrQ4H+nIkfy@-R$8^)q`NXWy$Dq-ESmqG0y^EP+G}8sdtMH5+ol?*yrJa(-L> zpZ3pCQKmp2x?iqUOIR>R*?(6pkk9L&w~qY&otA=6aOqG^I@Jh7JpBFg?ae*+^bRh* z0M^HotNwyfCiduU$|&j2k>W@n@X(WKcctB)%q<*|Q3WV5P){a(`vpmv5$@fdK3cMb zpuYO0C=Su9W$*tjkhu9ZCC}sumuLXpH?R@1TF>790!G%&uMt87X75K3jKd7P#Hko% z`VvisxlGD-*pDk17oU;W;cOi#=sU~r|LLjI(lH#pj*kK({Q01sGZ3-n%cXs`0V-b~ zVoF|BF@G#j{7Zy&p>9&oa7+;5!KP4myc;qWt3;jnO|((j3n9FZ4+ajra7Z=&8z1+t z`kP0YG$%;OOgKg=`t1Z0ak)v`o*TfNeMl*JJvxDIx44W0=sZDrkj$4H{lS6>$oh@~ z`vWn^QsQt=+jfrOc*+vACCC_OOlHx&H$w=rXhS==Y~SQ7RtKkiF+v?^AQs_~3Vy>a ze$N9S5kxmI2Hv>+aU^OBfOP!~Xywx09Ul)^u z9aSuxmnSkI=xfdAMG0Y6L_+_iJilkImgF8(Vk)J6eQyFIc#TI1akSZfCYR`3^C>}} z%VlX__>FWSPevv3PFQJm^>$oMM^IrRB?a=K?O>|W@cqiTzE74{WkibaAi8HM?4@t4t@;^ll*TQMJLbq!DzhtX&`4G?0PVVkp$`vsLT z7(n_ZuMrZ{@~{W=%k;6aQ^!0Fi|ofrtt^Y6Es!Syrg@6M4jmcwChbonl|Li9@W*H!|h$tE~5Y zA=KhHLNTl(wsA#5Ivoj#@DY=w7cXopW1IKmihRq!s;^79mq?4Ei=_^q*+ZxV zyFWFgNJ~>~mT2hyMa*M%O3K{kqpFitcOMp#h!9-Tlq&52_#u8S^z|LzcXX|4;#F#S zLmKSR>z~%z)$-vxtQG14=BetM)$(-@meZjDIlL;Vv$U8`Q*21hL3w0I8(F$NiL_>? zzY55ZR2@?iY1K!~HEXic6;lB<>emh#(gI<<+o11E8kCyXK`HGP8`kPNh8Rj=*9mWr#J&z~t|LRjtecB{EvacW zDm3e?RV(jgZi%goX74iSdtH;JQ?pi1Zw=5&C}q0>R7lzaP;I%x|EaE{MH21T(PEvN zEFG%dSz0sM<&@V``E(ixqDc*)kH9cCAPYWAt2XN)RjcN0AUUlDLW(;WB=~?k1~{d- zLze_j3@E98&1}?nCw`Xpi_Su9KoMs?8Ippdq!e}zR5Oz`AN18taIOP=w2*xQ%5nStJIiCyJthf=jZ@^G>1Cnab8JbU)K0vNMXm$wyit4tVkjO zbceOfnv{2#)@wIM_|aycJBjs|wRQ2qhnmZDgB#tRSz6@$^m`phIIzNi5S_R~RYv6q6TVI42`+AV|D>HKvX znvoXBs1d{g^|<>6Er+s53z)rs6fmY6iUQ@J8Cqh8VgrXe`BBrRJXSoc++5!8d*WE_ z(VL0h@~_b{FwL!4?`p^dlE8*J4cCe*nsmh(vQqHP?bn~c8$#@#%$hKmeG|3%25zX=rYe($ivZ`CG~h9=bN``MsR&S*Ncui&LwKGW5;fmRzj`*8BFua5y?E zh=lIcn)gdJD58UO=_e&!3=S0C!ZfA%i#jS#A~vHzy3Seon>s=L`K=gyP8>STD>VsX z9XB9q$dmEDC*($VOExfwT_W+sRYmykO1VZPqBdHIPdQL{nyn<#f2FgvJe`8C+}7EW zy`A{X(Ds|!wRklpigB%VM|d}Ja_U{uv0RC_l$jFf>n0 zy-$*nYi?yDa%b{Qd~US*I<=jCVNYc{8nhy^n?&Da6$;H%WWO($=FsLd#*pqX&y~Lo z%!5VhvypiCnhJ{fww?qProt9kDyLC#!a zxYvbm{PIn8AD;3FDLh}%xia%Pb#U2_UU>`qY@{4wu|tvUFFGqhxyWD6>-5~i20;pi z;_wa>J!qanEI$NYXUwTJ>!mmDxT{QN0;us9b)YB_wTc1JW)QfCA{~G{`}R)2jIVh* zoJLC@>|?lH`RRe?_5d~YkW8@Sa@6=VCz1P%-^n9s%#H5!6Hxw1A;pT@?2~QVZ8-_h zLz`2`fcp%@wRfU&F1@bivkSG(3@BkeE&!v{dR7tcMMki%do zO8I!VAy;g6Joj)w-lILbq28%3h1gakp+5l#k$Z&Vi@zuXC>cR6Y5tOzY0f}#YzjUA z28j9Wq#i0js})g&3Uz`2Cat#tJ=j3qFt{F*Z7V#W(~Y0~rf7G=8-lKPDaNX{?fErD zd(&9(8Gw;J_kfbgv?1r|*fw$q#cxpbvIyco8@h9Zhiu9bsv!FbzF~@>+&H3`DD%CK#}2% zf zgcuwrEM{YrIgZ!Ad}CR-WWmi$n|@xlTWYrvcNkt*HO5EOJjC_jIq}jmYUv<6vg&%E zT(=hmIsgS%T@KV{_;-Z}S6mE0k;By=BS^cjGC)tArXwD_@~J?qFNB|O?|W^%L;2{e z`JNxD4nxABN?nz#FeHq29h9$q6Yq@Q>{8v$g`(qey>6ARjn;R?)kL(?JmxgLyw!sN zIV?9w59U+0Ne`}gTC$4`uF%k-$gu>orhQ%K8vhKSyRhl!vo84KrZEu+9?Uu@z|-p3 z-6K3BI!U&XU@s?B%VS;j^e2iX!_q-so?UDdAEs*tp97T*FZHFo_QTpNYHzve$@fJJ zB5hj=6icF|1LdNs>&|l7fH%;A^CfhEIuKBgW^={muKuv5S||-21eve(z`F{2Ks@&_ z%K)F_EcMy>efK8%vfAmZ5^HsjHC0pnfO>cvXA2eRU{^Z8*|%AD>y0J+5^B2B@wY{T zvT{PZQl+XcE-_xza-FCM!PSZ<7uRxk5w*560{D?$%RdudI8lLUykRj z%{fs^`(Z^DkgiN@(_drBdD^xe;6O?@R4X-mF?O{8yees&nbqK#|6LBJ$z$K{oMEla z5UQ0vjU(4;+t!E$3EM~vyib?jXpYNhTxG2@YCG0)ox(WP+P&!mkioYX<1{t!rec4> z{<(4GzFetk!(C$OK%}(MrK6lErNuR+AEl(E@Hk~#W9vZk>m@Cw}%g1!X z4`=L3yMV>^pwjkL{N;~>eHulZVJ0m zJfy*C&n_}K+v?iBg&n-3G|%QAh4?&eUnDjD2^nR&S$+EL@vy}C=R@DB z&L7^$4y8Z55gq-{9v^(u4e=57eR(VlLq+nT@}QOCf& z1AGl&tL>;`y0$hqE8G3!Q6mp2TiXwdTJBy$6Dx-99$|#QMG3AP&nt^sW{+)7vK*tw;CAzShXYsIm|k zgKr{_BNjdSultVM0Ih#C^7z=DxT(6$tCfPIf#d4FrMgWAjg+GSeE5B^ zesS?i*x^5#3cimzKE5ZNoLi}oLyrL3)nNtvlfM{wwD3tlX&gX@RWqyGj7y_zDp^cQ zf$I=f50v_VHEYH5Io+Yc92f5Jc;-CePsF>arTF1RucTmj;ZBWTQUu9i z#fea1HB;cnkx@~ew+uNxDmJUgkqGN+GAqu}2rDQqVo|9xo8-Z%e>-DN5Mq5&TvVDf zR3&cZA}3ND%0>;30p33!B2YjH6# z1#oI9&rfj%QH{*!3?;I|Dy7wmB+#|}$k<4uE3w)Kbz<&XDHu!bA{Bi88$rg<`!_k={BZ-prTwl$YUze-l;O< zKDBR4HQw~5IMjmlJdN%Sq?-OZ7B7W!mo}cdq+cbY7P~WLw$yDb#S?3|ULnibGQ$yv zsisap*%8MwS<`Mz$7)m3wU>F9R&~tDMw!}i%&;uS{cR$rUiEoCq*W>T0*grxr96`b zi$-a|L(X_i8NHxOewqL&A7Wu?s&B*`Qz157z6N|ehBl?ZE6SyW0^rVkb7N1Lc4 zX!5_-q&lh`L{HId&W{dKC(yRt#x19nDGp8{(f$(%r^jt1vAHvdEq_`_Cb9q?85zs* zw5HS=Tqc?3|CF(`|H!ZZ6X{f_;;e6;E~-?KX0E;eK>&D#Q8S57Q``=h&j zEH5&Xjko@SlH-^|8$9LccI}v9wLOTI5!IqBe*$0Uw;Pqyyxc&1iP5;R|E=(BedX0O_xfQY)fzDBt;5p0M_k&X7=`a! z{q58<{Z3Adhw$t_lKy+g-!#r+>XGUZMUH|e{kK!sG$NFoIrUBB*^Yf>f1ns;?gs*a zp`0m?&TpsA>DO{N0NL?1ofkB}CVUPo4C5IU#i_tn3!+&+ut#YW)yAm6vS-K5_=)`! zOHPZ&`mC;Z8v7p^ZQRgMd)Lo#M91f8duDAPb{eSV`D*ih4{)LEg7#Y`J@t=@a5y7{u;P4a*Yxy}oq3@5-P zJs39-{&vr^>*c4U8@=TYPb8^czUnqL_}aI*Kij^uGd&!g0apvnTyWSDU*FaOH}Py= zR4xcd0e=I!-w}BN?hOL2Owr^2a}eosFy6e56=k53ZG7~`70>;8=IMgN2e@11NcCg)oV|&`+{S$qxE6q*_ELYPVwj#J4>+?^TBa_X!p||Vlh8X<}rxx z=^423$mjNCv4ih^eeraa!$^S@TiEJBY5%vfr9)g{x~GZss^{~4F9gdM&!ofW4{XQv zcqZTcb1A>JiHy?K<6;oepX+D8Ru4Vb3Sm9xwLm>R>D|+QAFoTWE&pRH%>duS#@*tB ztF4}AuZ-Ij+2I;LQ26oq>eFp^ONX67+X{OB!#!}%jGdV8<3*C*FVjY?_S>i7m9}ie z?ZaPDhf?>`msVfjNTme2@tTu4=hDxWZx3<@3?q?~QF7FMkQ1 zQ=j&)n=4*VWaC0piLRHun{t1yA+~=WpC4}c5kO;MB_iot2M=*>_aLvI!mMk}D%EK` z--@D&_}Uws!)ZI)XJB)B)2$q1EQy@Z&$alzEws2FTSxy~J%>>q%$Bq%MW-W*9N6BQZf8hT-|O6l9(z4-cDV9=Iwl&T*VRpq=WcD1C= zM3XOvHV3MfCnuhM2(yo%wq9VaOimmp3ZqO}NwRX(WueoX!&1ol1ya>oIE~m=|I1SCaNB?XjoAz#uNP?& zMga|9zAl(Lol)oP`$?6T0S6%U{%}T*i9J-!$0*1SCkrQmpv+D_utp_DDqgG@v5ef4x$cKi1GAU^Ea1PWzU{8x4cB0{!wNH=f!JK z2?Uc21ztDkc;L8pIlYveSZT04-H`nuD^Q#z$$L}Kh*7{oNpn>_=ErT&d@x%w=6)?> zZ&`rxs|rJIm9tjGe3Z3|h&IJ;8Nba0U$@y2`*a`CtG7Hk&|@qI22wt0S-|quZlc^~ zy4+@zm2ZD6=c-zlOp_(zG`hB^zupxEpiwQgl&TUfR61s(PNMwI6S9%+?*R%o9eLnv z*`2Z@gOTnUBG5|49p(R24VpBc662&WVq;b#hRBeVfY$Lry3I^O2?TS=aSiM8Vs_| z5F>qjE5!R&aU<58xtj0Wjnx7-eKPs{8_Jxk1%n8wflT^GzzmJEEM`c;5LHU>B{Mu82j*sy*S z?GP8w_0z8pZ{pmE^U91sj{)vXIIxkUTWJ_qN316Q;lOw1+NG%9u;Mfre(as*uH z)k3mv|G+H_qx}3{c4AFrOpNej1oivHyvE3wGuR^O*I@YOVJ=b83RUu%{s#84a*RRG__hA(HvLA`5)b9gw>3u6Q}j|Je}uvvEh zL$$}M;Z4nz(bEe8X0*be9B2VV>MSx9p*fOtKS)x=Wj_?<{4D7`xdYxFl+S=WPr&-9 z{8c6?MWGwK2GBR4ssSEfPChFYO_t?8d|Epq9UuMCx=Jsq*?ytFOb3>0slQy(vS3l& z5B0`c$le6Hl;0FfAgG7H;_v}aMV<`h+hDZjM$wCsvkC@^oJ)sxeb;3{&;Jr)8k9%zr54q1_#QJhoL9PM>FsKbe!>0AKtG zMa)mb%nPeVlgV@pOI+#m&xNmCYd89n^1R)J>f&LVL*O^OlhVle=zg7)vD1QUG&G2r z`Ep!O8yTgyr?iFY0)MVm|6)~1RKlCacy)eR=QlcvNoH7i`pNjz)<-&qnY1a=hI<^wxsqp)^R3e@DLqm=BF;nus|T;bowEVWL^k-v zJQ36aVU-O+j|=ogaqVVq)$PuQI=!oXnh7sE!Xq`VTl2=vWMWaycWnbi+R7$jk)?8r z{NH`_IVcN1t-gs)E3yvvZ}Yx(rRo7W?Cex0?KEPG?vxXdW!a)PY3fxjyq9ghmVu>v zbgv~@s#e>c4|D!Vq1L!^t+?bzb5^CeAvdhUL{)RTkz>^slY`!!DZ^Bwl_=9q?54UC zSvq*pn5T>7FXb`#ux=M&g9y4ndN1eBgSrtmvQ&FGD$;L~~p;FE>|gofGYpb=a`_(6Q-8{bFXZOmYLr6bGdy3lZWwr&H>8Xv6;(!rkVz&kOcNTJ zAEvtTJKOcsY{0>t2+jwsF;y%RrV4+QkMBk2QXg^SmkkWXI6#QZw&38$JG0kwdSWxWw8BB(sDvQep64amY*j0ZPF&@@MyI*Y2H@G}JHkW-(D&f_(^>oCPzIdY)FrQGg<6`;2Jx#q9p8{Nj z4^Y(Vom2K8bw^wt;pC=dN28dJrz5ZL-u9_(*kk<^V_zU+;}bc3Yxm z6aReaV4!2+U`_UFqGxWVs;PK$PH!_Y6SJ11Vv10Uw+z`al850=8P-stFT2n%%~}dh zchk1gMTmKm4#|itwIQwcqs>jNe@hs8`jy7DLrvg`*Y`qE+eX_)MTdoAlZQ3SW|yV{ zkN37{RstF=DV%&$(={%(QeJh1#>>L*RcFhQOH&8z(fG6);nV4s{Km0u*tE8|3pCFc z#a;M}Ax0GFpU*Ue#>Zq0DXts2cs}C~z9WdZn9FoV!25q!BO>|4Q-wUdqPf_p6*Fl{UX7{(dZhS+VdoEciSl8xu<|JzGNFaU=BiJ6)6#!`9*|d#28Ya zd@+DJv|_I__`6CX>D>p4z+df$U)6@OMBXWe2L>g4*;k?JH?|LkKWQqHAd{hZ`-%K4 z@|~0+-NpEkhm@5u&2~?Iqv$XLPN(fl-s9cyZOSXxN%$m5a=8lU>hgHMX}cbX2daTYqMWJ9(@2N$ z9vR-Nn^SH$RsKW?<)mY|v6&jW!}g=#xAV>zEj>b^4@I$IpgIUyX7*4JY#^uFA>Dn8 zE5W`E^J#UQlIN1+7AgBbt9%Y-MAT2ggD{03;g?szCrxc0WZ2Ma?(lD9et6TEyk-T>Q_%w#(h&$Oj*DuKw z!1wUU*%!lo)B`i9_@acm(n;@zY?K<#;Cj`rz5eXKO=YMiX6N_cxu92@Nt~{>gS9Z` z#kSeQH;(;5=+;NeHxy#b0|r;cI>&~XZ9g`BRmX1#dc7lTye7~$^c}>1CiQYB*}8^$ z_roa)pOc0y-1Jk}vUZslM%-{Y($f7Xto+k(l}u9t&&*>FRQOu%X+EsPPz8=O&m!cavJx&HmThfnlPTC)H5+xnUd=7DUC zh9Hw(WoMpz(hBJv+0W{BV@IQe-nmw;rq;cEY#8o;Fs+Rq7#!hgs6Yxome}$1r!X{> zH0CdskNE= z+lt|q5vQuMFPASgwf97Mr7n4IS--VTR#B-Rd$F6ivHXWHBjvK&B!g%q#d$0V&Ed;* zIg@xos?Xqb`Rlw_t>r)AQG>WzLXo^K8@Xs1bG^$x%wI*LTuR%{eBzmFv<#z;&CR&1 z;?mM-FRw4<+{mrpvEl$e(c`MqKo`-Ya!C7;Q+{wr!MTSojqrXLWScU$r)$+<=g}Bp zpR}rA!TN=PhQ&xL1Y>b;Q<6tV<%4RcTjL55q)b?+n_$>f*n`F=xs@W3PmY}mi+!-1 zWZ(0A@Z{~+2GNdK*J{3K?bmuBd{-)~?Tmh9TY1Cb858<3j9&B*l^*_r3Ah6#sNZUUcwHoVfC zYt-lUJ2~_-rlVOLik-j2d`j=fO^;wwvAphZsdq6}v*ZjaOmgSqd~!eSpL8!jf!mhr z*lW;M8A8$8TQVTKZ%|vU3K$!0;Qn(z5zSr3qei2l*o8bE)bpmXUv@5*RCTEA1{r;s zVLFxn1md%yQS3p)Q)*$AZpnbs3#^qa?4 zTeG`?*&|f6>{~YV?t6aQAM%e5o4eK+Lk2gFy{X5N1yW<`LmlBagXB$?&8rT%7uL%Y zayfrGyosMex7S&kQ4hO0sG2UGM!}f3(M%*b*C%IeWkiYowniQeI(Iz6eWUC&tLHm3 zvn4`WrIJ>7)drYzO1{mDbt9mgb3WMyb85~Ibpm%pm4h>!Yn`j4kHOO~#wr8Xsxa>G zH_*Z=gUD^8)FrLNMHTM-(45q*L~ce@QC;bazepkUG;?!`Oo1544d!;mTe>w5BZzsN z3?sGZt8`zVPO`TP3ie=x-Ii#U#Ua_qGwuu4?ah;K=zU-wM(LhQ#@q@w)rc5KvYR*8 z`EdUQ0j#akmSaxAdy8K)-Q0oSFdvHOkmrcV9*~Htzm@hU{AWTLnfSdp$dPe~V(szU zG~M;lJpO^ocqKOjEp5n6ti21uZ@S_!ipT{9$G9Y$Ihi)n%v(J*<(-~+!^OE*w)5kO z-9sDfr~@pcqz@X&j`K`4Za+-J@*op++8E0>)m!_vY*z}*mkY1E{swy4rTmn>A(CwS?4AUkyIB<}&+WJTNXC8X~5Z6w_Z6)exQ0+AR>P$jDgX-eI zvMxHjq`lVrdE`MMIRpfY_jvh&FZa;*+B(u=H&8t+Gw)kQ{89`f_)N=?23BY$)5zT3 z92IZl7t5D_m(Ry2xZ5DB>12r*39nQpLpcceN_XDc>gG?$$Gj=t(>wlKS$ z$aFGVqww>y`$Yn(hlOn@S+v_8ram)T@=NLd*ORF!=HsK6dRt?Bdok5ca}pl!+0ojl zLXW2Io63{>e_zmz@(jOhRFImIz#e?jyzehyalC$;vZ0Q&K*%=!+VDkH zT$+5kOXjcHdb?cyh$H}&%+Fq4?y*gp9K9_0QS3s?&axERX`xiJ9gyr&qMQ!wl$S{K zz-eMcXY<1)d6@reYx0&mY;r=Ax9s6yS<7rz-C;MabhCIDSzX8iG^?r7^2E4?nI}xy z*2Pw%SHVp>+dq3-gMal*A(m^*CL;qjR4uoa_Rt62(!(C!OtWpYOq`L>lH@6+8XTrO z!-_b`Y>~p@01cWfX5OGi&i2vXR^a+{g&TUtVU6=P0uP5d-&UDLI9}4dePTo3%ZFs3 zFvJz+t||F%))qZ@j)ytlLjFgtXwq^;^ES>O--^(+`JM zJM11f99k{kZ`~hTy=nTh6P<7B{p$mrKYCVr=xwvK+%|h##|#gAv~C-dmCsabnHkgCqoQWuM2AZWzVO z6<;Gxtsz;T_w3Ua?rS{E(A7%FH4a?U^{t%8l7dI}(WbuA`Ofkis+!hI6AD-PybT)) zQX8%{UrN}LKNYI1lQ&ck+iU%uk*rFdI=n>1FAIi9=a9Pb%p%7f3?}yB1nC=#dFb)7 zJVN@W@iNuNrT<-ka$wS z0bDkZQ-vg&ShwHuo-RdCG9-U}1%F{d`4_Fz<&yGkwVC)3^D&w4Skqfs=(Nd%;WI~c z*mrxV3wDBFcV;oNz~Z?my%U5!aygkEbc-!rwZ-SE(ZtKP_y;(iGE%;X+ zd<~(jcYFLodH|iRCEr+?lkXksIJ3Lx1jp> z9a{cm5&DMO9u}eN)F_EILB5|^vb`MO!3xE?BquKx9Yys2e|2xyE6r_XY2Fu5|3SbM zVAntvg2C?#U#R4_+yZ3DkmT;ED?wwcYXr3IhOM5NpFjKAWRQ`WWHRy``C{xUS$dUc zok#}B{k8Vm&q~nm?+0_!3iUhs!Q7xueYbom*8Of7jm$XgTPMstEmFU8Pfp9#Z+pu# z?@A7(#KS>TWi3oHmIySXUy^RV@AGQ)dt2z-v~vBPW=gjxTEF|Lrv>cyHP^cRF&T9< zZIbh8Y_4hZ_)QD*(46}=lw}WD z6WR+|cC8l=Z@cO5b_a|yg$=>e%qS;!(=<18>nBgt)1W17Ko?S1ve#L zl511E9nSIj&U`kt_-$|GXN@cdt-OOdRlgbzsyZE~k@)k&_GsE@2(OVXvb#C=jk;&* z&u?0*srd7q_TG-x?5*~mjmM5Ti=(B~ANES4WpBvGZ88?0z}e%h>PLHF5*> z=OXFExTI|DokrK8=`@pca?iw{-}cr2QPObkp|kk0ySHwaB%6rV72S+CW-t8A?d~r8 zoZMIU*nM1J1cRvBr7fN`LQ&84o2(FXvd-7tVh-}_bxk*|XWz+Z-l-dIw!h|+dghio z@Q@8FJHbW-4i)=DQTx;8Kk;P*!Jgf-hqh!LIJk=^XM?rM04TSoc-gzezg8<}M-yQ~ z`MD3mlRo8l3CX%e)%pz%!L(}qZas7tf94{Hi~lIeI2Rvm36kmvZOVltG2}_EaF@L# z=2l*i;NGh4xd+wgUo{;?d7D1(cd~mbqgVp-vyS54L)^!5_S+up=hjhl(|Yzhbrju0 zH5=m{Tf^(=Z}BU4+rnUsq+!xM2XQQl8n|Q`95S2J?(P7 z{z3o!e)9VtArq$SfF!}gfFU=443{CZ7C}!>IfINp|5K*RkYXs^4Wt->*dhD2%P+p9 z2jI!G=I!mzx|!|#tnI{R^Rs{dy#J%V|KO1PEW1Asj@5;&>NHPFTD3yTrP&~_AE<}j ze}+7->-7Wmu={6_?>JC1$m<8{GUTfV>f(DBlXmf0<=&Pj&Em^52z=Ya!|v~xKl_35 z+u%T5e$3m0-yd^kaNdJ?{TN-npZqpBNA2C?dKquG_8;@g%O4-7A?D5FrYCP|e4egh zPM2VOqTctQgCjM=yl6@#YJBxoJv{9Ud3>hEum5zLYBV;(93QIpt-vz_^}ZE&=J>tq z7?`o4y0#8C7q*KH^M#{zd2L@fS{E&ABTdVkun(R$wsylk|dt$=Um zY9E}dlOvSGNNc)0`IJoFw(NuQgT(mSJ*2pKE5EoImVM^^H6VE^gBE>?*7~{6v(J3K zDbS6rldaj1#;51?N3t{5?f~*x!hG>d4C5J|;LO~)S8--O+zjW=$MOwm=D5B3Kr?6U zZ|_&2+^ZXW_Ws;p zhri?fxz$F;=(Q6Z=SI&xkM;)_f-{eB{cEoFI|lxEuKm#w=|Ho{ehBpJi7wveryK9- za}Bopd*0*fPQQ7NI+fl!`Lkq<-F)1e(RQmV{k&d}KnJPI`_+bj{y-gPb)zRb$|Ra$ zo%dsf;)bYR*iSgm(_F*Xx6hwyb*;-g+r}#<2%8`IZlc#y1x& zu6FuG%NJK0{i5ZIt8ISuc~-mp;&zG`clp)#w%X-4CiKpN?yYR>U{N=BgE?(!?{FYJS`W^3ZRN%e^x@fc}1?|^YYqi&}ItY{6 z^&5az+x#-nvwaTjN?uhVubQ5%(prsxpN@0e3zPcv3F>O>H>paWkgi5+qhjsaG&I5&Y|R2P2Fs|=%}@chZ1IP76m1YW+& z`E?U!1>h%7Hc5qtS=sk0RF7}MsM@>umd_mS@VwIdh8H?3@SYy+no$e0vhK@gU{uR} z@<{vC6$zu-?HiHS`~E7@(|s?i>#S0*v(DRcv?;`(*M16|@NB&^skupEUY$LVE^pR( zQFaP7Io$J7?8^@|s=(etT}-!!dByc*q$z`8hBR5Hd0F-4N17H>Uwx!S1@+baIjWrA zB3(;egi+0O^k7#F#-wz5{)(4^=`g9Bz7901oG!lMQ)4lzpuXo-PAaJt>0DNSrJfch zb=5ZH|(r#Hh^rGoUWd#l-_VDz?7sEicc-A`90H`K0DLIT-WUCQK@>mmjGE zElt3+{4JuSJuVV90-u6GZ1 z(IK0ZTi=4T_IfV*(vynoTacDJ{xZ_@?B$;FOOec^?D~2QDJ<)*7xPTvY2meh!^ha_ z_Q5*d^9<%IHgZySeG}C4Eapp4&-3-u1)Es1bpiHb_%SKKKKqI@q*X=g@*_QG8NBjH z&vH7)q7UEVO$xxabjP9w`^uv|yX1#g#8VG_REvE>(KC#Su!F~Xa7GY&3Y(Zq6dCsZ zEoZe@u?mQa%1w%1^$Y&Zs4Dx0GGiE(V-?59+uPByHmKXYf_UxHe?SZ3sd!}mtd-N) zE{thi_U#Y&b6x(U67ArdJ~*0_O){y}zRJA{qe|^$#jYZ*h&NYpt{;Poh@*n-l~-`P z*_w+uE!)2R0bh23o;m%K&SQDLz-(@LD(mGXXM^I8QCB_5O&sNqcKILIst=>m?Povz z?SFjq`=9=P0J`1-c8{Fykh^d~7}av8$SD-)80pd9)O`e6{+VAVL5fMOqdSbsyZ6v0 z7`NjpZhL{w*$KE2YpTl;-4+?M;Z6zdQMLCD*Qb5QBjNihE}{(LbE6xiC2}8d<2Em` z0eZ3xdT?^?pydZL^JQtuDbVun8II%-VN_^q%;pUvKb&hecvKO-gWflJCW9hE0Z!ZI zUGj85=pOS3NysU%(d5|n#;7uU2fQJZf)0H26}luf0;(TQp^Y(d6RgejyL?nBzD4$7 zV-ZtKZcFu;+1xp zrQ|#4em7jWRzp84liITGiVa0Z22h8`5d5A|dWykERp$E**P#6)^nG}5`opeIpJ$GR z?Bne~mP3=-$s5TlMRsV4p<0no;n=7UeFrT&iy=-~mbL6S`Zwja$V{PBxk>pFh(09w z?QZXHZ+!rn*O@&UIKJMYn|ygrp)WNjpX6SV-E0{*)_ufLjrty1w%pFhLg&kFmW9uO zRTa-z-(s?mZJ0sr<#4ti~88b?oCcGg3tMhx(5e864z%q2##DTFpa7*{QOl(q@?I+o0FyDWG|+!hBiH zyIdpkcvkGNcugw6Ci^`xS%m4F?G}im8u-KA#R#aKv|~XwIoO0`iyajAY{yqnf5(dpuru*7kbh{Y~_+y|_}I-K?*p2}j3Kf&C6z9*0p@`-Z53LbHLp%W@%@)u;G+pRIG zwckO@eo)nA2EbKl+0U{B;!kFUmaEe{uNK0Dz98$PD0dp_(A=KoyhO1-I8MoUSNvgF z8o5kmk@n5?r(PdLg9Ds!p7;711(MmoMts+jg_ng9r%PNpaXQ=P8x`m8-BvMSNq)gr zy-P9s@^`qe$*ZQmC*?0rJ?e8dcj)z5w79pjJwknG3A%TZ&aUo{9L2fht`{TN1fSxl zcz=J$No9#QEw^gfdUByK5jyEX;*{%rXmPjYV7KyP998r0FD5bLva?*Y^^qC1$|Q90 zqV&o$6ePqhi2owDUqfC;cwWV`ysUIV_L&I_65~kdsQ0uuZ*t<&L;UZY zWM|73ZO|7?qQw)G>t1{og?3K9fEX{?0DGk`i{V1`a+BZRZt4*&;K7c|oo3XNDGS2H z_$=$Xi#b>G;wWJu+bFl})(rWGC@}Cb^*^!z#gNMhmwN&o#_D~DYbcj_WbRfg&cqRQ zUxG+KwUZRA9%k6;--AeV~S`-HwY z;uAa~dp50M{-4@g@o?}Df!5>l3W zo$m62t^AWCv}w>6&LLAwcSmzAeDlo)?0aeYHxA4I6Pa$YPqzpe+K0S>&Zt0~47(ND3GY?O|?K8gGn z3vKKX%1P9+srxQKB^MySR*a7LZD#AsaUt!Z!+jCP+)JFz+3^U8pc<;{A(x5lPpihf zzr^)fbU_uyk@KxtPZDzC;pM%|bP=B$uZGN|vn|sXM~sMjZ(aV68&BfEveFVv$b~Gf zdiC;1=MWpn(x=m=ULHk|8CDz_4S!rs;*eyCjLL8larq>)kTWFLYwoe!h%)gAjfnGx zGgC}}hUa3&c!ZXlK{i@61zLr{qXbNHKs3cAQLg+KZ=H2% z(95GJKC-wU38C8Rtd~)$=)vZs3$p8KZL&Rhz+6w~wO$@Z#*w1_gnX~9YfY)zsM?Tb&vq6T$J?fTZ|8i(&v=A3 z6b0nrt7nrWw1r59CY3_VaZIS1GY6%e^ATU;5gOAZKb?-XMiEyWCt|Pi8ZC2=RXu6% zYrQ;;3T==`_T`}C)Nu5PZ%ZbD3@FjN?3oUHsi0RoCpE$qf0|m%eQu)P91}*z+e_tf zO6_>DXcni;j<-MB^M=e;E64L$moMYA$^NGD8}43*#C`V<*x$x1>G{@La-4SEza4RP za13>OhhY)N_Bic3xdQ^}awHl49Fv$gBL9ZGIyZ_eCx#+hs?k@H>mS2LI8aV|B!DM( z5q;lFD=TJ-Ods_QS51wab~NZ>s>+_8SuRFrSxXqz4&{g%@(3-L6drrAy_|M0AqE99 zZ_06}--@t-Bxr+PSuv6}biI=Q&Y@Yc%xxE{6d&~-X4e|A5Y4KOSR;?fazSqh_lCoW zk&cyfP)g=IqYubz+0H1ABmT$$*vbxbIW`L>PJ0k{x}^3{vDb0~$;$@3GGGOm3sqJ( zHv_gqHbXA18Za8kf@XgEVyq09Aw?!J5zfHot7Eq1z=EI!UmP=>VHi61#;f~UvSg0fndw6E7Edd^JcmHjML|;b@XL1Q#*s9Q)h|nqQPA=lTj?>5 z_$QCZ;@W2K>U!XpQDh)hQOa0UALJEN9Ehj&Se`>BeQhMP@=NVX4>v~al{;vIx1UWWmD+=7BfhGumdBLk ztQjW_q74pBnbcZ>Xv)Z5ZmbTyJd8lgQ!!v+?=dtHnQR$%#L$)vBlm6U>#;nGAV<=u z;^g@rLyIwqga9pwrkbHelLoy!i*ox?-LsBKt1UGY&_2LY)!Y!w6PG0TlK#|AO-H^{R!Kqw`XL(h`Y-}5L7a|%JMx_yy0me3HO-Q< z>`0h3;sLi4GorOTLVM=&q)kQeM;YLwad@U-#%X&e6_ zEtxN8=#o7a!x?gLSg06^W0WQE%#6U2vwd8cX~}#col7oE+=p7$$`slSTsxC&ftK}3 z%z0xnm}}4%>>ieaLBrMTLF?d>&_t&C0n`mQI#%TZYD>MOzL2LAGtUqw+5ZJvu29%S za&c;dh`3~i@ndqPVG1_r_1S}?mn=8HR@Q?mq;nEkB&E&5PawgEFW^#N>Gjc*Z7h3K zR&whFcRVif6N{;);7)WUu2Jq+(USQBvrPO-ALu6tjV0hALNh-1UoknU*TDgErjRa&GK^x# zwc#KnsPmaW3|mKD9>tyfypg@O9gRJ5Ul1U|Pbry*Ogbeo>OC|TT3S+HCa0iAp0{%bT0A(qv>o->lGA?IWkYFc?RoaA_i>v4osAgrp^N<>s|K zwh}z?+n3Ch0u3>SD84|~1_&7+O-kHVX3Lp(zPMp zMepoQOX7;2TCR}D_pgZ{dQC_MnN;3h?KQD`cS@GL6)qZrOscoFBkSmo(YBe7PyI0{ zMeO~V*GKELJ^cOl*zdQ;c6#cyZ@Ci($i*V3qcScf6fhJ!W1$EIv5@l1#hqh_a@%=J z;)+5Cs^VhB%3Y&2U;G6_g0J}6ktT=?0J<$hwYeaUr#J%Dn(v>Q9PL`$ZkR@})D34dox?H5iJ|zg;2D)A$>_J~7 zofc$I_O!*4xuVcijL7EIoU9=1;<(z9?+k{y=dvam@b#s>Qfpu~mh3lQjjDt>7H*A8 zbjGpNaxf#~rBiN{n6%`r=!HsutZb}Gj@QDoEGr~Swbl~vO5hRnS2f`ENfcnnQL_Cj zV?b=Q;K%_dSE{~>A&DKuUy=RTU*Y;B3N(>t_tI%o~X+&hXkErnpF$Jl1V9J4(Yd^5i zdJ-edM#f&tx!!s%XGCpzfYz$Gcq`T5%I}hWN0g*W8sc#>Gf8eP{KSsDK8S*BsHh_2 zR5Ox69A=76HtPPM#-PLH4dtB%y*`NW3B z<;{rKx@QyVQam7hnNVyrPMzD81KQB08Yv$C+SzR7rqa!HQIbDR$5=Qj6GpZX+cUic zc}*_m0ggB~Y|2L>-P7Q%1#>j+kzYhcS6vZ!dbp`O}Ye`mI@zQyWGazrpc$mfQej71U-u_me zs;3|_md~TAN84wYKRAo`G~|hV;{J)vgNaLAyJM-SG?{|2f8vnLA8qIv&_p!!4UaS~ zs7)Wqf5Oh`16Ui*_)xr#Gto<&e6uG&6S>5ffo9V$UI$vqDO}V_Oq1CcFJHK>SH~wq zFkT)imS!_BURH!m^C1{U2lGx%)m%d}&F5jfh1Y7xFi&2`Gt9-147vHnr+i`n z*E2FMu3&yTB;( zVQimR;v0f1i|$UUkm7YEalyP&ugbu5E8(qtz%;~}1+dhxvx62Gamo5a((GBAVD_T@ zNr!jas|%JxeO4DGW`&QQ=fmA0KN8M$=;u+lLI+rysxXt%2wMJ_jy9S&#oLVy`gzn{ zptEzd3y8N8&d|Gcrk1^McsGw)$?2(|husRD$x_K*Hd&h?G;-dWWS9S@{?yOIZheb} zc5XNgW^jCzny%U^n>YixB{=ee<wL*sZH<=lSrBUAf)tIU{Ds-0V4% zOCQc@&!raM=E)ciz0o2Ha}<*$EE(KrC(NQ@+c2k-2^jk!_MP!}5{|TOChvyymg_qh zy%#xReVZ*P4W~7rJAqu^^K~`hvX+S|w5AwYX~7HU-6!wXhJ!S=B7 zp{*r*dp`D1voUh`GTH&KnR3H^e)I}96d+m346%BMEqjo(oT2*Bp`RbU3Jrs4LjWhv zZVZ*zk>4+$YIpiV)8p7ClxASJ_~F(&wu$w~E;i_?<~xr|MJjMBk1x0aDcjR(tLlL{ zVmTB{I{D87CkEbx>^RK{!`jWMV@`G85jJ}x=JeEEcuqQ}24-_U4CEb{GmT&x(oa6r z(7I0`XC#JqK+Y6{FF?*-&}>-uJ0NH3!PGL}2ssgjaX(3Sc4PG%*Qn{VrGzpY0-#mhb*!gHu1 zZLOg!PZcbdh_h#$3s~g6^vA#t)&U;c+~u`%m=6B-lt^O|1GH5fRsOHs3c|sMg@Jww1*ACKS` zoq2QjVtQI*Qm3zgbdgAi8N6?Rj&^TZ`{}D5)#*=11=%P0Qtsvn=}4XfzR{{o(56*- zeLP@-IlW%`H_-@dyKr+Tl(z)Ns6^lKQ09^tJlO_V7SXr;LRV{o9NPfjWK3ok*QiK; z$wAtn&scPCZDY0M=~R<)jG=T`TL!=|Ge9uS7HBgMEa1+2hZ02wpnu<4U~*vYa9tyQ zFpM3g79bIlPKe2zh@J3*m^z>!v;@g9Xr0&IfYvHmagp zLw?R68zEbiVvP4l8F@wCWJN6gPK>#)!1Juy5LtI8YP$)(<+0s4>-*N7lZ9%;{PLCU z07b_3`#kT^Fn!BSEmR}M(A-C1Lys5Ptu1~0&;N8RitOYqbyjX(Xh%Ha*G;@TnyU7! z*Yfcu#hB#irwio0KNY?Ikwo?!=ea$)L6eJx z2K~*RmyKa5&6}PNG{Jr#M3Jk$UcFHntRoM&`+ol!mGtNjxD@ldb1-S=GI*FLE20fX z4RNXAcOY^e32GAJnoiv!J&n6#_t>6@TMsZP>sXIqqFa(6w4Xty>vdLE9&rWEhFC6} z5JEbxXB+4OkGnYlEdot2E*s#KIrg|;_I;n6II^i%zUlE;PNnyKgQKh@@UfvSRWT1V zcy08v!JSsL4J8N!5#k|FCU8q7%nB!tZd4zgRwUribViU-jOF2O2;5Tr@_=*N0iu*U zeL5?XcYidf6ey>F0kZ(4i;y`l~bpi!5R_kkuuw9?Z9x_a31es@*Er5DQ<%zHBY}u(F34>YFC-Gi&`fwiBh%$N5(&%8 zxRfleOIzy&pS$UQ)3KUr#} z6Do6qhB1u@`ctooJP*)Wx0zK^MG@np58Uo5+}%#hf=n<%PEcQvFL%gPl%=MdovyGp zZAp;VG@b`!HTPm+@)k8qfO!7P<$QHT@LuiQp1-E%w*AJdE0YpE!Sp5g7HzZ0Og>W2$BJ9=#<*Xs`th zdU+Zjq2+1CwSrmc%6JJ0f1>8r5Swbq>%;hfoRGB(K6-rU(u74zFiCvhn$i*9dKo&S zI2QvdllxXuDTvBNnp(;69MDs80+6?~C@+GoEKu5fG6$fZbypUK>=+wD0 z?iJ`Ohp;_!3f!(}cNvd9SfIZP*(c5S(j;)?yC~QA77bgI*s(TA@|bE;E>ircc>% zR!6s)B9$g*TWmPd5(7&c^!gBr;R?*(^2~@-Xq`Nuv)M}LE(|!s&}G6=cY7IaP%GFx@b7o*nXHwT0f zT!&sWTd}OrgZVMtAeK$6;&wrG)uEJbs2F9PQF%ZwG#0iJX=iQxmv^VOcHaUNM!I85 z4c;ofGi->Uw*#|~SO6IJV(`&-g>mPP*_k7v7Fv5d!iEsMs;2ST^ghPUVw9A{s6*wuI=fC zz=9(0bm7q2zHJ4XzCi{HM_ZSJVRn#$Eu!NM`U1y1GZThvX*5c~gi%lB2Cd?P%p?pN zDP1;wp)XL(RS8WO{9xx&kwlX%rpC__S5?e|GkxF9O2dzrKN7zIeQx;E>4E&*H{2{0 z{|?9t*6(Cb>Dr?~_s4BkEO33#X7QCy4@sUCBNyU*l}ptxjqWe=mM zbFI1mz3^)gepOT^y3mSZLR-3`#Jl{`eb|xZR9$D7P~kEHRBV-`vm9o}#J67?Fy-V+kE{NVb|3 zGUOX0#?6gMK{2aiuRE*|1I5)~n6x(HsUgIP7Sj=@&3%IX+!Ed$bulpOqu#I=lSIyq zj+Cggz44LUArU>iMi!$)6q{iz+s0^ty@YGx+Ich+HS~Uhy*`!!0ZrQX&{K}pA!TX? zBhu}iZ|D8i?ABtKh^{vxfzVB5xtkwtjI4iDV(c9I%DL=Ul`q~ul}t47wtGkVW=Sp` z%eTct3zo@otooHob#IsVV#LT0n+&NIhKM%ynjt0gfeuldlq0w8 z6=SLT`#uFGR~?yu)!vg(J{_5VvgnzCCaz&$F_!n(p7A@3I7e`7mnytKnCijm9s}0*$xqakFVP!IIA~x(RhZ5~X>aue6 zgrlhCtxj1gLk4jqBBhayFri^zIhFU=7-8CMHxyEisupjyr2887l~Z|-Ek{Rrw3*je z%UX_!hT^X`lMs$_EsQs6d;LT%_%aH;>0PJeQ>%Ce^2AX3kfQA@1lVulGq>%x zm_9PWHdKV6+scf3cnC?=*nAtJNkZZc*g-kb zmc4eA$K|?_%iH#Ad%>1r8m3K4fYL-i2xs2{%{)DZ)!AYs#XkDVrZc%iUpS7B(4-8}^+P)P;MZi@)VR1B z_7iQ6he+~iu`i@%2`1Vc?}<*$f>AT{%Pv3f6{~o9ZoN9VM{^P54MqG=b_lZ|=wOHP&ZC5IaWBRk>ld+mb#ep#6x0KsTGr59km!&f39x}2x!=8%CmqaIiXg7k z5FMYO|67)?FZTtbsO3R9U~=wUDD_E%h8au~3t=bujBvgs)3Z10azUdbqKi*nIjZiq z7AToJXqkChpPNad3_(5Dw#)^M4!L~=L~fy26@!voNm*MkV>}9!^6zCkGNZoTOABI+ zLNjj@wch~OxdxDt@+3@oeUsF33F@GVH|Pbiro>?m)1`9~Oe`fz21YXPz{OHILsFK} zIEVnS=Z@ z^R)J(N-2iIBF4Y9dp^^c}k={fNijmL)qlUdci4xsYu17i2D}hd~DaM66 zJ(?Q-GsiZ_?#O{KxM5#7iPZCD-;pTFx+bt;A@86Hq%vNCB9p|ZSS*1?W_K&Ce+KO#@GNk+O^S08r7pm{Ik^jtmO z0*{y@ZB@aXDWP6?%*m^tDwRCSdtHXSt`T<`@?}ln2inc-HN8VBZU*_>dmOC7{j*N4 zlc%~R<0rmm14hJ{pU1k4$T276k%rtw;FpFfTzAo*N}Ig;z$e(*F(V&;IOiyXEnI>g zflpLU-h=o$1Lo`!mC>kYGQDr^)`$T!g*LW%a>T0Wi@3v+gY*s?+4QHrz(k{0T}cyF^FO^uNhq`^Vnm0zCwPB;YB%-dc3cOv<`Y(l1lvC(ENhb;@YD5_5 z10yNdC{w~9GB+lZ+Gu%`1si4v?Np4Jo-bDhT5d3KX+QDF^%C=f3^Rn*>A_H%tSJr6 z*gnWINLKWM!h#Dkgr?;kf+eJ>(jl@r^mLpKVQW|Of(lcakT8X#_NVGv+fWFdb$AjT zukaKloVRT{xIkZ_hTcIt(pH%v(Ue7W>ZEKTlFQTzI`pL;!CUA^i=bSc`RKN@q zyYBafygrQg$e9)lCev^9EEl`TggJJ$9Mg6f7aSNhF*@B9)p@ypYJy&F?u^hxtaqxR zmqfOC?8a-sfEgkuWg2YU|AjTd??`a#SgB*B_XPoF1f3bY9?={3yB>2{9QvzdogMRB z8}!;PK0rs=XO;@M%b|3>>S$w2ZKD;;_67Sztrv1&O0(QGZtzU>kYNitH?g!uZ;)$feiTnH^?kP%vABoM7BLUG^5}x&sZn?&&hTR0_ja4^3)0IF zIz<(0VW7F*rZ{GS{gPC`^?+WmUN*#xlwQF;D^Uhs0@-?G3CdC{4RE91L;kcOug>8B z8J{jg=iE^`;-Mkfc;?T_4bs+QL3$ZM%Tn(Q1BTbDwLM28p9D49pdda&=4<#hH`XQ2^g^k{rk_llimS2 z%e=e;a(&i1vmjunN9elqa7{h3CDz_Q^wIMVjnO+C?^3odg+5z3N-1Jalwt9{q z79?=t(`@~nz*Ei3JmZAVKm^ak6IC-cv4>aV!6tF))< zkgsPw?}6nma%>3%wruJz?@H0`wbp{Zov#pD#IVD{iJ>U_4tGZM;ZrNxucfKnpH zXZ$X?KCpNJ8Nt}GFBH;G8!(LD<#_{|z9!GJp@SWm4YAoN*ErdMBSy;t`96y|L%wnl zZEfG^7B#2)b?ENlWJJw-cpLjx(M zsySB+VVE&?LK4vON1-^I=%+?R8X%V(&{rrd_s}?QI9M*l(yk#P8Z>2CXGmf+>qR)CRxj4;()V>0d+*emzI8ja9+ha^^ci56LcgzI&BV4zx2pEdKXbDLjA8s*cldd&^CpJy|#pp*s?DSVU&bdH9x$; z_TOQ}^~>`Df8_z17~7`cETsOu#@LZef$FohlYW7}@`x=qG3dnLQO++fgltLuAeocsm?0Y=4kd7H*YqFo&juMi_{YduHD=c?cZ*STc z+A&9`BuZy#&$XRaj+@`hh;VllvH1OBpiPeu7veEPXo^_gG1|nJd9@U=G9???E2%?Y z>Y%@crikTLnY1L;w1~y*4X*4~#M(#1m=!cdEF2rSs;(BXBF?dd;_e9AG~ zYM&Bh9;pxykYgItz97h4;cm>3rv&TKw9h+wAX$o&Q{8sN>+Becij*S}LJIZ~x*d_p zte?U2ZZv(+f?&DyN}t;;YFmWKxSw}|PAQ%50ev|LJgsbD@Y5MNLY}2SFA#WcA)CuJ zqQ_icf!T9TqreLrcJ`oGN0r_`XL$0QFS0pD&|8@Y_i(;OWSDm^?1%>Q^V~5b7R;G0 zJRo`B=R_t~?T7~RlzVm=c%e{FzWP^qmR6-69nFo}GURnwgP6>ix`6dQBEH;8)EgcC zn3#-|ncNa$QM=4s{&IXR^Sv9~^YL0JvY~ebwnz0sn>eXj|U61oz%kE#7NeHo-l_sw@|5 zhn$!xdDrsQI*=hQmNhs5InO|n1~f5EvV4fY1oSY1XK+o2j5whF1i5*6Gad5Tp*bxd zQu?w^KI`xJxG3nF&8VV??=iw;?R^P6lwI5SC}pQ)NeanU))`~ZGR$D?F}4&bD*Kv} zVM;|QI|&s*0nQYMbjhQwd@2G z-VDM0r@rOw@~$a?ik4ci?k?HS;2Rn3IP9(F**2>5qtKjm4|t| z1Z(P#9F3vt^)gml8gAM$HTxQW^r&t3SHDVbr3(MTkKKn@M=wfxJ1LFgm2{BNQx$vz zXL-=|!nJH=hr~CuZC2@H7Jl3xlCO_*(>(Q=reia2-vg7T^|GN)nfyCYiSJaqL*!I< zzJB@T!#&T(+xM%SVPqLj+~U_!73nd_m6zN8KH>nO$SGi($uYa7N6jV2_wkiYIwZU~ zkG)wM&hx(EB%?Mm{I#^wfufI|4-T5_@_Ti>-N>$GsPfXsQ?&}ERcFQg?-aR4>aLWi zohUTQ`NR{M>l{6J=2EdrPgAE~W%-k?mu$L1kJFwcZmgQ}%MKspYQ88Q!0t5`zHy9O zAm!#I%QFK0jzO6I_}Q~tZdzdSciR{DL>F(r5J+u9+;K+rF#XDqP|F(kwnu(5 zPwkt$O$~GlnH>cwiCjlEm)1Y%d6griekQ^xQn^1a0F{4N^6Qyb2K(S9N*ug0`UlHD zf?kf~ppfcohSoND`>ZFjj$CHSe!KbplW-vw=k+Va+;?_Xbu(Ysr1T)?us*HS3*KEu zv)j0zPqs1^3@HqRDbvW~gSNcmesJ=p<@+028;cgZ$^A{Jt3@bjXDy8-0UesV-#0aLU+^7!H$GV$p&A$OHOc0E+@O`c zNPPIb@*Q2Vp*Z7XjPfzt2A?JhUfd?H6h0{&MKk8@>W8@r`KxN7OHyudUS8)qdOc6Mp-3RDIDTK;9$LQ8 zkHT!M_ODL%&D=#!B&HHv=|6;r3*L`9SgJfIB6-~g{GJ3$jva5OZG4{iHVm5<_@!_k zBjek55{($-2V|>Nj(d1x(%vQSiPr8^2$>u7F@!vr!(=&g ze7A|Vhat?bDlJQ%59_AYXR=JUZT|j36hT+q%mv94g{a2p$ zMGTi)MS>W!5((jK>M%M>w7l2ly7Q=Kx;N*Z?#4#Ww8ELYUViY{%tJNl!6n>wP-As?qP|HhnwWYKS5n?PaJr-FuaG#gS$4Wg^G%m+NtXE>4-K%0)N(#D>7nDT>5@WE)8e525)jH6}VZzn&|^}rts^Theh#faXl{b zTmAk0=ElB_Y%adL9eu<+)~${EZTif;TN)p2^f82P@exHrZaa;k%fsW{Nd0I1`h> z$h6g~WHGzcQ)q*Y?H1FIGoQ9rs>gK=IZqypcv@MAOsHCIR?VKWndtU=}HpFP>o92d3OCQ?y*v!;Tt}s(mMT`&p z^OxA^`Y^*jBLm@!ue(Hc^e&1?m2B%U%kR%~yHX(;;=SuiCQDb_Gm{hjIC!=`-MdHq ze9^K`3(QhtW-Qj5^wTHyE&xq z-TNH=B^iRgWxOccGUm`-F2s+gA(v9VkR>!%TPstoF;bzz_9PDuq z^-a{oHh!e6TDq=^p;uyUM_gSgp z>o>G!UY@n8Z!bO<(QHuZaIUDqSyY|nbJCaYs`cOK{N;}67}GNDy+T(loF#fHlW?vm z9U&_{e8?X0%&B0<(o3f!pW$7M?2oxzKl3YXFzIfB_oHu%hl-cTF$k4xD@+sBJb>*B z-c%~t=6%npJuTqzq49gwRrEcovs=8X$~GH1p75_deYs#19>3{?-f(EXR^cthp3KIl z&8atHSkKL)>y8DET)8jNS!t{|aw<4+|E&>WH3h*?=H2Xb zr@p+ro($JA+I~m&ec|ljfN#|6mz|Gzs}E+J>#XSFDqjpr;#lmSx@dNF`EuRk+XUup z@WYNB5py3*Zs;X5vqaN7#s=o(hy>~t+BU|wBz((&WMY5)5&f+XmhrVkq)p4*iJWkC;_T;Ht$swdr5J)35_s8l^iOw=R7?=B?86 za4)VdZtv_wg|LJB53~EfGaE+uM8{7$U=Jcv)RH?}9h~yzU(u^<>=a5DK3&Vnxw!wb z`0mz&+vir-B@8g;r&hy+6x|o%L_<7nC-(^(Uf=quh3@c^=E~%^ty&4sQ+RSN%2o*u zS-tkumowZQlqCYn|=XlcseQiFQ6<&R>EH z9?FzVPUi@|lsVar+tsBfiEdCq2>AAWP1|%|cIWl6>Qfwfx4dL?^1Pg&&fMLX{8ex1 z(?1xKV(Y3C7qX6S#IZfxnH%XK6n1H{kwe)=qdRrvI>OPieckS8UeuR7RIYJ)>PE>^ zS@$m-R>3g|J2URvKvyA^@j6xHP3QO7ZLJ5cg)8$hYG3RDC;J*9lCA+c7{-B3*~m97 zA76EWpU}um)GpAH5sw_rD!3?lL@2+*FLU&T*zEfZ@JiaId%7XENNxLG-W-SnwFa6qKep>@}k7t**Ck_pI5T~s<(Szq)m~>4X9sGD_FUVdB z+4H`}o89?Q%?p_H>9+n3`N0;RR~J0olJ6(VJf*4E#&6fJSKZ~t+0OH3<$eEES>ckX z&E3WM$GU(Xd5Kp-wj2z+dqe3KhTo>aLAz-`_tAzOOSQK+Ttht*vk%Z*FAtwAEB*A6 zRntS$p|=!N~~K${EQy zT&hdbUEGqbRBWNoBQuUsw}ZeqG&To#-aq^-9;e74i*maI+@f;@S8Xb~K-b zd8Vf8i?x}3<;E?20Zjbaoxx9-h3ri9q~+UA#Sr%$yCXNq6dE(D@sxSr@*dI9O7<1o z5-&N-13@uf+9wZkt1p<{#TL{H?ljTdFc@=l703AfsgU>MtOynPvS&4NTQ<5@@2lR> zp9J1Igt?ErnoLONVU4u6y)|lIoy2hNlDCz;pQW`MgQ8zLli>^vHgJntDp$9{ToQfS zyDY=H>}>Sb;;d^y;@_Lb^xofp(!WV&s@txA(InU{Dnuwl%Fq7D`TMR0#fQatF2@!K zYnRVmc@CN3aA~w}Ry_0f&$lWXL(N|`sub5m2ToMp+z}8c=5;zTvZgKgb7EY#1P5dG z%|tyL_+|;cQ=KgV_2+lD<$3lF#?K5ynC`e~B^Kj-&i_%0cil;%ef{};u1Vig)8d#c z{L&Ozr#p*dV2-MNW+-8eWE5~5W6^orymc-{r*^eUoD^ts=dh8oJD=H?qx@hliJ_o> zN5RGF67d;ugs#}J@vX*gzFMi?d9#L|l7!-;FU91C#%Z5r5@rgCn zq|C?q7z2VYqd_o{PY9k=k>Ihu!ITC2QA^pr4+`d zwWS;gRmrwZHg9{DG*ME8&IvYCHUhh8b^AV>j5O)3KNi9B?U~+q%QP2*WMj`R5k&L8 zOwG^X-FI$r=^n=mzlaH_b*gvYN%z@g99QDc;U|{-99e-Z0E5)1`_Q5JPl-KEB7Jd;7RYw_0qM>aD`kX!cLqomb~HbFxPq{8loqaGu;cf8)Af z&7;HTCD}7}%l4G&+~*dP)KIBznp~bq3cZ%mk!6!J#UWV0pzHjq#bH#+WdCz*HapGa zVTYG5(Q^W~J{@4*D|+psDSmMEa|_1L(63^TfU)n^Qz96*H|M3CBb6sE)wFQvv5qjs zU1gEP?-ko@JyTDx$KSTC+96pdfP`z>I$x9H%09z5#n!M*2c2m&ia@=Uh8lr zmAz?HyF??P@sg_*{lyP*^5@1-^wxH1nHoH9A6R;dW;b**wVl1rb8BpKGCW297}uz< z{4V!cAIAc9$=OA8@D6j&*{J(3kSL;TvS04zq)X8|V;xF|RQfb6O%Dx(DXNx9G2G(W zfZd*Z&E@z}Rn~P1C+%Xy3%MnIV_;d8vq`6-<^!d*zB+$CJKegw!RNy4I^M)28eF^2 zz}cjIPiywd$fpnGE&_t$PZA3!87mYL=|WGhC{3mMJTa^&doL+ACSHJXHN^Hf@V!A! zeUhHAy=eEatFk9dt~JYFZhdYK*Ry?-&V%(jBez}JEVl{towZ-89_2V~TfeDsYwMJA z-p0-PLv5CA#~(fxzKCwQpV@3BeDwNuC%KK>sSZhtF$e6^yyB{8O`ncB$yEr%o7`5b z&yJpcCkP#x5(SFZvuo;&R=SuuRi0`t%tr@tlnY=$@U5^-To*1)6@79i99vM87jGfS zTCyx??d*Ri00>RYi+{M&*tF@DcVA_EICATDrz70Q`}I_(K5-ZLZ7b5Q_{=_A2uFOF z;&_hmq$Vzo3QXhS>`Rl+a-imPHs85VDqsws4H+}q0q%SjT8beoe(Zh4z z5}_ryP}bV~`}oO~;@n18`e%;|zsi~`YY1h1wu|4xvXthyvcj2BZ)DyKj?+3DA6kfY zN8JDXS~N_d`)EPbDr0lVm9S24ny%d7gNZ{CSCb=+-}vSq&OGUV*4c-VWzKTz%<8&U zk=r?avQxg_)NY~7nR%U8^W|M>-L*$G-4&`g++%r%U3%|w^-|Ec@XH)5(}G_{3JEMP z_YYnjSl1k~ZSvI1GMsoMrA10J>%tkkI@}ge*xZl}CIP1J#UQ66eIcCq{W6TfY zBCh3ns{1J!BudE@%=MSWS%AZm;3b!A=b*EW}>0+fZZ!>1p)Xipzu~9p9;RX6(X$BLIk$7@ri@b{B z*UpOp#kaxUn2j*Oe)ChzwO@>X8l*XpBu zjjl`ATYr{}!rF_tMsAXVyCJt+3%pnQ^u4CujYy?O{0V%fB1kL7g8?5230d-$$irTy zhH}T*?p~v>y(paNcu`9*4Oiciu%x$-z%6l2=gP=RbE8(+)AgONA|v@sA3NRKbz(#u z6KHHrUw3Fv{w3BSbhge8mp6&t?ZsB`|4o8E}>%R4)fk|aN zxWeDEN^m$WfAQ?-)u-r3FA{L}SNHEuBl5pgyz-@1&f!&}qj<4AUzgqOj%u3eb=uz9 z%4X;7ss~4H!e{zy1|^2xiKZ-WXx8o=(TJis!rNa*mr`jE7_*@GnasFDM)FlMU8vA}vDU*w?P9(bRxw;-oCF8d z!@KW}TMbIo)QwdK+naf+(gwk8o9mSVb_I=vOKg?rRNl;Hl8E=ze)9o*ZIO$H$UzsJ z>v2L2xAi+0Mx;Y_)`4>YmO>2&qc;?12&yoLwTbwQU*ZVvZR56%a@m7qa52puc)@we z$I{`&0~+O*3bE1>SLI7TUy{g@+psM|#wK;3S6W$+>8Rm_j6~7ou{~@EjM|Wyp;@yk3&nH~1wP=8>(8lloStLVjXus3 zAi(69$hbonQ+xWn<@Trxc?K7Zj?bXB1ym8~7g`DPlLc&cgr&QwtFKR%FHH<75at&v zP7+6_JcxBCLGP3YVWBEinjlWFBVLFYn{SQmr%PLzPazI*moF?Qd#J~!5XYJt)Rrn* zQ-WssdsbSF%jYXX?Iy;9=2nPHUs8yzDe8+;cEsrl;{4z^acW6@b)qpPXnD!Fhlp7n zATIZ262FG>uTC}+Rwr83!B6z{1TBBjQD5%QhC4=pE5uNdfYnZz<~uH8v6$+Yr^zcPm7IFRCPEafLf2Xm`MPT~E;W zTQvN-#L4fXc7#P2%Ssgz+y6~DK^a7Dv?fRSVy$1*tJ0w0t6YJAA)$QD? zcC%=+U%&(4h4PhY;Dz~d^;L{fBQP7&iuvjnyXB$Ka^idnL5bBIu|CRfH8`n@aO6lF zvHx7j>Isz~cm9=00&)0TPxCQ(JN#n(W8iKOJ-djf@J*+d3*FRLW=oFFf9x5bEjS{M_N-0#0_ZiPbRNE|_Dx3Un;Z*%Gw+gYmlzEu8R+KnVpIN># zQD?k5nhmCg=w1TrYtj1Q*w;6!m%2pDS10;C)bJ|{3FVSkv1JS6{YRIVD-_BdWG{WY zZ&w^@)LusaiAlpp^I^&Q`PpyA<;w$eDM9t?TvN8EOeUytE@r9`4OfmU)$EsSmF^7k z!q3`w#Xs;r7&JdNM=Uw;qNgU8IGupM`{m5Q^7N4z_UH!U)0NA~Js(BIYCFB?c(EQ(<3sG?i>j`|)wV~3xc@gRm1iUT!o%WmcpIH1jivY~1EzJ9fr zE>(WUc!~~L`B=Ro+$f27E2gL)chj_}UV&dtoG_K$P`)s1Ny|KISrVimU1KY(Lo@8+m#VvG~eG&VOiG84d=Vhy3DECPYF#GBYV2xxhnaQ9V}#p^mc9Xsx; zijYy1ke7!e@n}b%Bi>G)zD^$Qs+t}ryxn~SaNbUCo*uqrVgX43q??<7nWMd{ql18% zA)4CF!uSia{R#>JAmL3=XbUXL01N&Pj;Xa2rN*LF0}u!WI7SAkAgQ1YSCT|(BV;8t zQQBIP2stHLgrcIH7FtU)@Sl?#p$svqdks8}*t@Fz0RxRSGciC}n;T(qW~%UCk@Dv_ zS}+fo8_W~j-N77i2Q$$G%m;5!+`w$a!Hi*AKPLt~A}|^nE>J`9zxgMa)Okm(*P{I$ z^N*dvACh71*gtz_03H9$`3J?`X^L==wH;s)F##LGBmWLJV=E@_1|HCPB0E+d+1s__RpS~LC1g7{w#q1If`%w!0!H}?5_{3 z?+$!n2q2!|57avLGZlWo1=p-U+&`edf1ui(TCeT>JM6y|3`n;Auh}1p6{`JL0O5L& z#OXny1!V&$8$qE1g%K16P@wgi3DnG>uz=*2Mqm>fjn?v+{MK8FS3By2r(1af`GPop;R2Z#mi>Y&ED zb>K#WAU$sYkF8MI-OkLY2yt~0=D*E9b^4fU@hjR%9BvCyE}JvD>| z%th#~!LpuIAViJp(4BPAP%)tvc!rv!?p_1=BTuf0qarjUVMiuT=?5%CnhtKP*1GqF zJ(9lQ<`W1X#3lhMFdAshqh6#D$*RfzH};>?hI#Ot_t)bEX*3kCP zOvpKrbqk%P)MMFvy87&23T^nWsCGMWp7_5>HCkx9uk**e!%AExZM>kYwkC_g*eGf2 zAE~GmQP;Loj0#lopTyK;cp{GBS898e@dRYGZ-& ziZT)kN)n1l30VX<79l;$Nhl&D6ci;CWg#Hwf|{}t3JMZyk>%hLax$d907n6j!&u?K z_xq?)AG81mjHwk_VM-?%X8k*u8FoLgEy*ktEC}*@98+T)ilnxH3?%-V3nLCS@P@`{ zi~)3Jq9uz4zeuGmC#i%|)RIIhATW}OD7363LQ@H?jX)#e;2uaum(tS3Xz81o8-f!d zxQv{PtPC7Z>A@Ik0`K8W%`p@JDJp)pQIs;nVN5892(%PX1_%k4hx|#BO9}viKK}^( zp%zIi3bHI(3c$&OP!y9Q!^mU&9=4w}?iv^xKz`#);*Ya7!KhMIBSFl-QJEG-)!f~| z@u-u#qr;C41?+{y3uu0vc_~V&pDRnE{#hGVAxeT%@qe^Qlk1mnp#T#cPvJnI82$VV zZ_R9g&g~%W&=@l+OoCjQjX9UhCrfPZlTLIrP%vA~*vnM-Q@vdF(fr1@Rz&o}(#bGTlj6s1g5; z+DgmH)DUf@L!$oew9gTo{XwVTf9?#P>o1)DD*>G^Q!Hf(&RL+-cQe4rLQu%qC7 z)Ehvd&q|y~XQgCn7_i}QpFi+Zfq$r}?;X^7ZTH`C{v!Ye{4@K1Re=9DzJL7S{j2s@ z1N@(Y0$tj`p02>k7N9_}N472&cm=ZgzrO_5*kAA`=v2F(;b#6HdH>r5`2HFDLwWbV zX@5)TF%)Qc*%q0k3U|HZ;?bQN?TOlWKVx2_^7x5ZL-CNXUbI5BQ5D z?RAu(4G`!>Do_x>P6#PS+7Cg)%1I~zPH++cs^D;_iJ%lRQ%C?n1&1q=k&zN`1n8lP zCqvp@!DWD?l;LEQC<6Z`IE4jZrUW{HCU7`3IK+_58+@1rNkST!$`Y>j<@CM32La#9Z@KQs#H0tJA8vwp}#HXLLKpeIRiNMMQp zphn6=F#-R@n1EAa0|jnP2dkRVVeC88*b zlR}tcJBshuuz*Gc9wikhBuJKnoF_+hITU>m(r;G#2@PazibUk$AYmbMQY;Gv4B$Y~ z;qUSd;un2`p!f#yS9}BBQ{+jp11Uo`2Q@~Zh@%)BvOT1;5;-IxUH`?5hRgx5f5b9` z3Rw#xg9ev{9I$r6K?oEN{qm$CSsCI4jSR^~9^eP~p9w%l`@8%js|sEL$&(TaNT@&z z{vn^rQv4(PSNua|@w4nni9nK{sMBQ4EQfT3CIQKNB+1AxrT`9JSRsK)S&)=%$jn530BbNgETjYLUZ|Gy$R5AbW@;6f_-4 z#v$QA5dnz_LH{sUs6$ZFH^c)P3F1Rdy-=I7ssd~<2sui?u?f{Bkc5t||X>rh;@`s|yf=YG;zY zAkE0~{RmWYZX|Cm$iARvN|JRT3sF-XL<3nK8U?ZqB|B5Y08$<@1Qi8x2xYOOY$^Y* J`u}Kw{|AFk>9qg= literal 0 HcmV?d00001 From 0c83cf3da666365ec0fd0d978144aac25f12a08d Mon Sep 17 00:00:00 2001 From: "R.F. Kortekaas" Date: Mon, 18 May 2020 20:48:39 +0200 Subject: [PATCH 3/3] Delete BOURNS 3224W.STEP --- STEP/Pot/BOURNS 3224W.STEP | 3853 ------------------------------------ 1 file changed, 3853 deletions(-) delete mode 100644 STEP/Pot/BOURNS 3224W.STEP diff --git a/STEP/Pot/BOURNS 3224W.STEP b/STEP/Pot/BOURNS 3224W.STEP deleted file mode 100644 index f49e57e2fe..0000000000 --- a/STEP/Pot/BOURNS 3224W.STEP +++ /dev/null @@ -1,3853 +0,0 @@ -ISO-10303-21; -HEADER; -/* Generated by software containing ST-Developer - * from STEP Tools, Inc. (www.steptools.com) - */ - -FILE_DESCRIPTION( -/* description */ (''), -/* implementation_level */ '2;1'); - -FILE_NAME( -/* name */ -'C:\\Users\\Ronald Kortekaas\\surfdrive\\_ALTIUM\\UvA-new\\STEP\\Varia -ble Resistors\\Bourns_3224W.stp', -/* time_stamp */ '2020-03-27T10:19:22+01:00', -/* author */ ('Ronald Kortekaas'), -/* organization */ ('Bourns, Inc.'), -/* preprocessor_version */ 'ST-DEVELOPER v17.2', -/* originating_system */ 'Autodesk Inventor 2019', -/* authorisation */ ''); - -FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); -ENDSEC; - -DATA; -#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#24,#25, -#26,#27,#28,#29,#30,#31,#32,#33,#34,#35,#36,#37,#38),#3565); -#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#3572,#12); -#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#13),#3564); -#13=MANIFOLD_SOLID_BREP('Solid2',#1841); -#14=( -BOUNDED_CURVE() -B_SPLINE_CURVE(2,(#2974,#2975,#2976),.UNSPECIFIED.,.F.,.F.) -B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.0760690470668919,-0.0655735041831281), - .UNSPECIFIED.) -CURVE() -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_CURVE((2.56238101666906,2.47087880701811,2.37488370112046)) -REPRESENTATION_ITEM('') -); -#15=( -BOUNDED_CURVE() -B_SPLINE_CURVE(2,(#2977,#2978,#2979),.UNSPECIFIED.,.F.,.F.) -B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.514068414600941,-0.503572961258255), - .UNSPECIFIED.) -CURVE() -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_CURVE((2.37488533572507,2.47087958440333,2.56238101349545)) -REPRESENTATION_ITEM('') -); -#16=( -BOUNDED_CURVE() -B_SPLINE_CURVE(2,(#2988,#2989,#2990),.UNSPECIFIED.,.F.,.F.) -B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.065573300271644,0.0760690518192367), - .UNSPECIFIED.) -CURVE() -GEOMETRIC_REPRESENTATION_ITEM() -RATIONAL_B_SPLINE_CURVE((2.37487997105834,2.47087707274391,2.5623810995328)) -REPRESENTATION_ITEM('') -); -#17=CONICAL_SURFACE('',#1944,0.723898262201596,0.78539816339867); -#18=CONICAL_SURFACE('',#1950,0.723897943523036,0.78539816339867); -#19=FACE_BOUND('',#255,.T.); -#20=FACE_BOUND('',#256,.T.); -#21=FACE_BOUND('',#259,.T.); -#22=FACE_BOUND('',#262,.T.); -#23=FACE_BOUND('',#266,.T.); -#24=STYLED_ITEM('',(#3582),#1774); -#25=STYLED_ITEM('',(#3582),#1775); -#26=STYLED_ITEM('',(#3582),#1776); -#27=STYLED_ITEM('',(#3582),#1777); -#28=STYLED_ITEM('',(#3582),#1779); -#29=STYLED_ITEM('',(#3582),#1780); -#30=STYLED_ITEM('',(#3583),#1782); -#31=STYLED_ITEM('',(#3583),#1783); -#32=STYLED_ITEM('',(#3583),#1784); -#33=STYLED_ITEM('',(#3583),#1785); -#34=STYLED_ITEM('',(#3583),#1786); -#35=STYLED_ITEM('',(#3583),#1787); -#36=STYLED_ITEM('',(#3583),#1788); -#37=STYLED_ITEM('',(#3583),#1789); -#38=STYLED_ITEM('',(#3581),#13); -#39=ELLIPSE('',#1922,0.302491427450008,0.177800000000025); -#40=ELLIPSE('',#1927,0.302491427450008,0.177800000000025); -#41=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2724,#2725,#2726,#2727),(#2728, -#2729,#2730,#2731),(#2732,#2733,#2734,#2735),(#2736,#2737,#2738,#2739), -(#2740,#2741,#2742,#2743),(#2744,#2745,#2746,#2747),(#2748,#2749,#2750, -#2751)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09478654757827, -0.,0.5000000000002,1.,1.096115324839),(-9.493154432097E-5,1.000000003702), - .UNSPECIFIED.); -#42=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2760,#2761,#2762,#2763),(#2764, -#2765,#2766,#2767),(#2768,#2769,#2770,#2771),(#2772,#2773,#2774,#2775), -(#2776,#2777,#2778,#2779),(#2780,#2781,#2782,#2783),(#2784,#2785,#2786, -#2787)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09381026661833, -0.,0.4999999999994,1.,1.094804951961),(-9.49256062706E-5,1.000000003702), - .UNSPECIFIED.); -#43=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#2838,#2839,#2840,#2841),(#2842, -#2843,#2844,#2845),(#2846,#2847,#2848,#2849),(#2850,#2851,#2852,#2853), -(#2854,#2855,#2856,#2857),(#2858,#2859,#2860,#2861)),.UNSPECIFIED.,.F., - .F.,.F.,(4,1,1,4),(4,4),(-0.2481247901047,0.,1.,1.240984849681),(-0.0001160775706607, -0.9999993708416),.UNSPECIFIED.); -#44=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3009,#3010,#3011,#3012),(#3013, -#3014,#3015,#3016),(#3017,#3018,#3019,#3020),(#3021,#3022,#3023,#3024), -(#3025,#3026,#3027,#3028),(#3029,#3030,#3031,#3032)),.UNSPECIFIED.,.F., - .F.,.F.,(4,1,1,4),(4,4),(-0.09880350881871,0.,1.,1.096231862945),(-1.333954858837E-6, -0.9999900198167),.UNSPECIFIED.); -#45=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3089,#3090,#3091,#3092),(#3093, -#3094,#3095,#3096),(#3097,#3098,#3099,#3100),(#3101,#3102,#3103,#3104), -(#3105,#3106,#3107,#3108),(#3109,#3110,#3111,#3112)),.UNSPECIFIED.,.F., - .F.,.F.,(4,1,1,4),(4,4),(-0.09606029201336,0.,1.,1.098312603462),(-1.33440130369E-6, -1.000005836121),.UNSPECIFIED.); -#46=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3179,#3180,#3181,#3182),(#3183, -#3184,#3185,#3186),(#3187,#3188,#3189,#3190),(#3191,#3192,#3193,#3194), -(#3195,#3196,#3197,#3198),(#3199,#3200,#3201,#3202),(#3203,#3204,#3205, -#3206)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.06943159461418, -0.,0.4999999999971,1.,1.072472184052),(-3.701410886126E-9,1.000006306043), - .UNSPECIFIED.); -#47=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3231,#3232,#3233,#3234),(#3235, -#3236,#3237,#3238),(#3239,#3240,#3241,#3242),(#3243,#3244,#3245,#3246), -(#3247,#3248,#3249,#3250),(#3251,#3252,#3253,#3254),(#3255,#3256,#3257, -#3258)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.07146287264558, -0.,0.4999999999969,1.,1.069455285087),(-3.699293024684E-9,1.000006305595), - .UNSPECIFIED.); -#48=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3352,#3353,#3354,#3355),(#3356, -#3357,#3358,#3359),(#3360,#3361,#3362,#3363),(#3364,#3365,#3366,#3367), -(#3368,#3369,#3370,#3371),(#3372,#3373,#3374,#3375)),.UNSPECIFIED.,.F., - .F.,.F.,(4,1,1,4),(4,4),(-0.248124790105,0.,1.,1.240984849681),(-0.0001160775700703, -0.9999993708417),.UNSPECIFIED.); -#49=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3432,#3433,#3434,#3435),(#3436, -#3437,#3438,#3439),(#3440,#3441,#3442,#3443),(#3444,#3445,#3446,#3447), -(#3448,#3449,#3450,#3451),(#3452,#3453,#3454,#3455),(#3456,#3457,#3458, -#3459)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09478654757784, -0.,0.5000000000002,1.,1.096115324839),(-9.493154432766E-5,1.000000003702), - .UNSPECIFIED.); -#50=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3479,#3480,#3481,#3482),(#3483, -#3484,#3485,#3486),(#3487,#3488,#3489,#3490),(#3491,#3492,#3493,#3494), -(#3495,#3496,#3497,#3498),(#3499,#3500,#3501,#3502),(#3503,#3504,#3505, -#3506)),.UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,1,4),(4,4),(-0.09381026661798, -0.,0.4999999999994,1.,1.094804951961),(-9.492560626939E-5,1.000000003702), - .UNSPECIFIED.); -#51=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3514,#3515,#3516,#3517),(#3518, -#3519,#3520,#3521),(#3522,#3523,#3524,#3525),(#3526,#3527,#3528,#3529), -(#3530,#3531,#3532,#3533),(#3534,#3535,#3536,#3537)),.UNSPECIFIED.,.F., - .F.,.F.,(4,1,1,4),(4,4),(-0.2368447451957,0.,1.,1.248212467656),(-9.93702146221E-5, -0.9999993707903),.UNSPECIFIED.); -#52=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#3538,#3539,#3540,#3541),(#3542, -#3543,#3544,#3545),(#3546,#3547,#3548,#3549),(#3550,#3551,#3552,#3553), -(#3554,#3555,#3556,#3557),(#3558,#3559,#3560,#3561)),.UNSPECIFIED.,.F., - .F.,.F.,(4,1,1,4),(4,4),(-0.236844745196,0.,1.,1.248212467656),(-9.937021452495E-5, -0.9999993707903),.UNSPECIFIED.); -#53=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2677,#2678,#2679,#2680,#2681,#2682, -#2683,#2684,#2685,#2686),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.00000575015005E-6, -0.00123380696852392,0.0024862048322872,0.00386056582495288,0.0052879027718359), - .UNSPECIFIED.); -#54=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2688,#2689,#2690,#2691,#2692,#2693), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000396718804E-6,0.00115992924530918, -0.00230238670468814),.UNSPECIFIED.); -#55=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2699,#2700,#2701,#2702,#2703,#2704), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605306322328841,-0.00302696590621031, --9.99652149372513E-7),.UNSPECIFIED.); -#56=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2705,#2706,#2707,#2708,#2709,#2710, -#2711,#2712,#2713,#2714,#2715,#2716,#2717,#2718,#2719,#2720,#2721,#2722, -#2723),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(0.,0.220816508237037,0.3333333333333, -0.489206192657986,0.6666666666667,0.89399645729282,1.),.UNSPECIFIED.); -#57=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2789,#2790,#2791,#2792,#2793,#2794, -#2795,#2796,#2797,#2798),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(1.00000294396185E-6, -0.00143645105021494,0.00281845167803618,0.00406722991353955,0.00529663069931113), - .UNSPECIFIED.); -#58=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2801,#2802,#2803,#2804,#2805,#2806, -#2807,#2808,#2809,#2810,#2811,#2812,#2813,#2814,#2815,#2816,#2817,#2818, -#2819),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(7.35419908645635E-11,0.227288414926828, -0.3333333333333,0.51076350489385,0.6666666666667,0.887512210624448,1.), - .UNSPECIFIED.); -#59=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2822,#2823,#2824,#2825,#2826,#2827), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605269206071522,-0.00302691377159409, --1.00000159684351E-6),.UNSPECIFIED.); -#60=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2832,#2833,#2834,#2835,#2836,#2837), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000468062386E-6,0.00114308109550267, -0.00230162860949932),.UNSPECIFIED.); -#61=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2907,#2908,#2909,#2910,#2911,#2912), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.55792537424417E-5,0.00620283486813501, -0.0113466525069027),.UNSPECIFIED.); -#62=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2916,#2917,#2918,#2919,#2920,#2921), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.24759682597367E-5,0.00581757202148529, -0.012687703741415),.UNSPECIFIED.); -#63=B_SPLINE_CURVE_WITH_KNOTS('',3,(#2993,#2994,#2995,#2996,#2997,#2998, -#2999,#3000,#3001,#3002,#3003,#3004,#3005),.UNSPECIFIED.,.F.,.F.,(4,3,3, -3,4),(-8.37140836872614E-6,0.0936446466955273,0.3333333333333,0.6666666666667, -0.999993511385095),.UNSPECIFIED.); -#64=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3034,#3035,#3036,#3037,#3038,#3039, -#3040,#3041,#3042,#3043,#3044,#3045,#3046,#3047,#3048,#3049), - .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.273441752917379,0.3333333333333, -0.6666666666667,0.95007628393359,1.),.UNSPECIFIED.); -#65=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3052,#3053,#3054,#3055,#3056,#3057), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00596050981763189,-0.00297595303522026, --2.49364706131925E-5),.UNSPECIFIED.); -#66=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3060,#3061,#3062,#3063,#3064,#3065, -#3066,#3067,#3068,#3069),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.,0.3333333333333, -0.6666666666667,1.),.UNSPECIFIED.); -#67=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3073,#3074,#3075,#3076,#3077,#3078, -#3079,#3080,#3081,#3082),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0.,0.3333333333333, -0.6666666666667,1.),.UNSPECIFIED.); -#68=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3083,#3084,#3085,#3086,#3087,#3088), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00581575601161234,-0.00293195266984108, --9.99989158212162E-7),.UNSPECIFIED.); -#69=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3115,#3116,#3117,#3118,#3119,#3120, -#3121,#3122,#3123,#3124,#3125,#3126,#3127,#3128,#3129,#3130), - .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.27065979088697,0.3333333333333, -0.6666666666667,0.948735885329666,1.),.UNSPECIFIED.); -#70=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3133,#3134,#3135,#3136,#3137,#3138, -#3139,#3140,#3141,#3142),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.0183569795391286, --0.0137961919317161,-0.00916128750871987,-0.0045935375743174,-1.00000078799176E-6), - .UNSPECIFIED.); -#71=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3169,#3170,#3171,#3172,#3173,#3174, -#3175,#3176,#3177,#3178),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.0183600863185385, --0.0137667890570082,-0.00919828348612021,-0.00456257688785396,-1.00000079804793E-6), - .UNSPECIFIED.); -#72=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3211,#3212,#3213,#3214,#3215,#3216), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00948291629798651,-0.00461972991329758, --1.00003119075301E-6),.UNSPECIFIED.); -#73=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3220,#3221,#3222,#3223,#3224,#3225), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00771071904344865,-0.00395926901564186, --1.0000215080269E-6),.UNSPECIFIED.); -#74=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3327,#3328,#3329,#3330,#3331,#3332, -#3333,#3334,#3335,#3336,#3337,#3338,#3339,#3340,#3341,#3342,#3343,#3344, -#3345),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(1.52546096237112E-10,0.227288415002531, -0.3333333333333,0.5107635048939,0.6666666666667,0.887512210613693,1.), - .UNSPECIFIED.); -#75=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3346,#3347,#3348,#3349,#3350,#3351), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605269194909099,-0.0030269129979853, --1.00000056369064E-6),.UNSPECIFIED.); -#76=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3378,#3379,#3380,#3381,#3382,#3383), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000468068608E-6,0.00114308109554715, -0.0023016286094967),.UNSPECIFIED.); -#77=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3387,#3388,#3389,#3390,#3391,#3392, -#3393,#3394,#3395,#3396),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.00529647974705414, --0.00404937544316255,-0.00278208606070887,-0.00141763824498154,-1.00000290148539E-6), - .UNSPECIFIED.); -#78=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3407,#3408,#3409,#3410,#3411,#3412), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.00605306322277138,-0.00302696590620829, --9.99652156635829E-7),.UNSPECIFIED.); -#79=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3413,#3414,#3415,#3416,#3417,#3418, -#3419,#3420,#3421,#3422,#3423,#3424,#3425,#3426,#3427,#3428,#3429,#3430, -#3431),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,4),(0.,0.220816508237234,0.3333333333333, -0.489206192658008,0.6666666666667,0.893996457286346,1.),.UNSPECIFIED.); -#80=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3464,#3465,#3466,#3467,#3468,#3469, -#3470,#3471,#3472,#3473),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(-0.00528793735653128, --0.00386876906091736,-0.00250202891149227,-0.00124154279516438,-1.00000578118369E-6), - .UNSPECIFIED.); -#81=B_SPLINE_CURVE_WITH_KNOTS('',3,(#3508,#3509,#3510,#3511,#3512,#3513), - .UNSPECIFIED.,.F.,.F.,(4,2,4),(1.00000396718652E-6,0.00115992924525438, -0.00230238670467601),.UNSPECIFIED.); -#82=CYLINDRICAL_SURFACE('',#1907,0.203199999999213); -#83=CYLINDRICAL_SURFACE('',#1911,0.0761999999999238); -#84=CYLINDRICAL_SURFACE('',#1916,0.0762000000002286); -#85=CYLINDRICAL_SURFACE('',#1917,0.203200000000127); -#86=CYLINDRICAL_SURFACE('',#1918,0.177800000000178); -#87=CYLINDRICAL_SURFACE('',#1919,0.203200000000127); -#88=CYLINDRICAL_SURFACE('',#1920,0.0762000000002286); -#89=CYLINDRICAL_SURFACE('',#1921,0.177800000000025); -#90=CYLINDRICAL_SURFACE('',#1934,0.812799999999746); -#91=CYLINDRICAL_SURFACE('',#1938,0.762); -#92=CYLINDRICAL_SURFACE('',#1954,0.203199999998908); -#93=CYLINDRICAL_SURFACE('',#1955,0.0761999999999746); -#94=CYLINDRICAL_SURFACE('',#1962,0.0761999999999746); -#95=CYLINDRICAL_SURFACE('',#1964,0.203199999998908); -#96=CYLINDRICAL_SURFACE('',#1968,0.292100000000254); -#97=CYLINDRICAL_SURFACE('',#1971,0.203199999998451); -#98=CYLINDRICAL_SURFACE('',#1975,0.0761999999998476); -#99=CYLINDRICAL_SURFACE('',#1987,0.177800000000025); -#100=CYLINDRICAL_SURFACE('',#1994,0.203199999999213); -#101=CYLINDRICAL_SURFACE('',#1997,0.203200000000127); -#102=CYLINDRICAL_SURFACE('',#1998,0.0762000000002286); -#103=CYLINDRICAL_SURFACE('',#2001,0.0761999999999238); -#104=CYLINDRICAL_SURFACE('',#2003,0.203200000000127); -#105=CYLINDRICAL_SURFACE('',#2004,0.177800000000178); -#106=CYLINDRICAL_SURFACE('',#2005,0.0762000000002286); -#107=FACE_OUTER_BOUND('',#214,.T.); -#108=FACE_OUTER_BOUND('',#215,.T.); -#109=FACE_OUTER_BOUND('',#216,.T.); -#110=FACE_OUTER_BOUND('',#217,.T.); -#111=FACE_OUTER_BOUND('',#218,.T.); -#112=FACE_OUTER_BOUND('',#219,.T.); -#113=FACE_OUTER_BOUND('',#220,.T.); -#114=FACE_OUTER_BOUND('',#221,.T.); -#115=FACE_OUTER_BOUND('',#222,.T.); -#116=FACE_OUTER_BOUND('',#223,.T.); -#117=FACE_OUTER_BOUND('',#224,.T.); -#118=FACE_OUTER_BOUND('',#225,.T.); -#119=FACE_OUTER_BOUND('',#226,.T.); -#120=FACE_OUTER_BOUND('',#227,.T.); -#121=FACE_OUTER_BOUND('',#228,.T.); -#122=FACE_OUTER_BOUND('',#229,.T.); -#123=FACE_OUTER_BOUND('',#230,.T.); -#124=FACE_OUTER_BOUND('',#231,.T.); -#125=FACE_OUTER_BOUND('',#232,.T.); -#126=FACE_OUTER_BOUND('',#233,.T.); -#127=FACE_OUTER_BOUND('',#234,.T.); -#128=FACE_OUTER_BOUND('',#235,.T.); -#129=FACE_OUTER_BOUND('',#236,.T.); -#130=FACE_OUTER_BOUND('',#237,.T.); -#131=FACE_OUTER_BOUND('',#238,.T.); -#132=FACE_OUTER_BOUND('',#239,.T.); -#133=FACE_OUTER_BOUND('',#240,.T.); -#134=FACE_OUTER_BOUND('',#241,.T.); -#135=FACE_OUTER_BOUND('',#242,.T.); -#136=FACE_OUTER_BOUND('',#243,.T.); -#137=FACE_OUTER_BOUND('',#244,.T.); -#138=FACE_OUTER_BOUND('',#245,.T.); -#139=FACE_OUTER_BOUND('',#246,.T.); -#140=FACE_OUTER_BOUND('',#247,.T.); -#141=FACE_OUTER_BOUND('',#248,.T.); -#142=FACE_OUTER_BOUND('',#249,.T.); -#143=FACE_OUTER_BOUND('',#250,.T.); -#144=FACE_OUTER_BOUND('',#251,.T.); -#145=FACE_OUTER_BOUND('',#252,.T.); -#146=FACE_OUTER_BOUND('',#253,.T.); -#147=FACE_OUTER_BOUND('',#254,.T.); -#148=FACE_OUTER_BOUND('',#257,.T.); -#149=FACE_OUTER_BOUND('',#258,.T.); -#150=FACE_OUTER_BOUND('',#260,.T.); -#151=FACE_OUTER_BOUND('',#261,.T.); -#152=FACE_OUTER_BOUND('',#263,.T.); -#153=FACE_OUTER_BOUND('',#264,.T.); -#154=FACE_OUTER_BOUND('',#265,.T.); -#155=FACE_OUTER_BOUND('',#267,.T.); -#156=FACE_OUTER_BOUND('',#268,.T.); -#157=FACE_OUTER_BOUND('',#269,.T.); -#158=FACE_OUTER_BOUND('',#270,.T.); -#159=FACE_OUTER_BOUND('',#271,.T.); -#160=FACE_OUTER_BOUND('',#272,.T.); -#161=FACE_OUTER_BOUND('',#273,.T.); -#162=FACE_OUTER_BOUND('',#274,.T.); -#163=FACE_OUTER_BOUND('',#275,.T.); -#164=FACE_OUTER_BOUND('',#276,.T.); -#165=FACE_OUTER_BOUND('',#277,.T.); -#166=FACE_OUTER_BOUND('',#278,.T.); -#167=FACE_OUTER_BOUND('',#279,.T.); -#168=FACE_OUTER_BOUND('',#280,.T.); -#169=FACE_OUTER_BOUND('',#281,.T.); -#170=FACE_OUTER_BOUND('',#282,.T.); -#171=FACE_OUTER_BOUND('',#283,.T.); -#172=FACE_OUTER_BOUND('',#284,.T.); -#173=FACE_OUTER_BOUND('',#285,.T.); -#174=FACE_OUTER_BOUND('',#286,.T.); -#175=FACE_OUTER_BOUND('',#287,.T.); -#176=FACE_OUTER_BOUND('',#288,.T.); -#177=FACE_OUTER_BOUND('',#289,.T.); -#178=FACE_OUTER_BOUND('',#290,.T.); -#179=FACE_OUTER_BOUND('',#291,.T.); -#180=FACE_OUTER_BOUND('',#292,.T.); -#181=FACE_OUTER_BOUND('',#293,.T.); -#182=FACE_OUTER_BOUND('',#294,.T.); -#183=FACE_OUTER_BOUND('',#295,.T.); -#184=FACE_OUTER_BOUND('',#296,.T.); -#185=FACE_OUTER_BOUND('',#297,.T.); -#186=FACE_OUTER_BOUND('',#298,.T.); -#187=FACE_OUTER_BOUND('',#299,.T.); -#188=FACE_OUTER_BOUND('',#300,.T.); -#189=FACE_OUTER_BOUND('',#301,.T.); -#190=FACE_OUTER_BOUND('',#302,.T.); -#191=FACE_OUTER_BOUND('',#303,.T.); -#192=FACE_OUTER_BOUND('',#304,.T.); -#193=FACE_OUTER_BOUND('',#305,.T.); -#194=FACE_OUTER_BOUND('',#306,.T.); -#195=FACE_OUTER_BOUND('',#307,.T.); -#196=FACE_OUTER_BOUND('',#308,.T.); -#197=FACE_OUTER_BOUND('',#309,.T.); -#198=FACE_OUTER_BOUND('',#310,.T.); -#199=FACE_OUTER_BOUND('',#311,.T.); -#200=FACE_OUTER_BOUND('',#312,.T.); -#201=FACE_OUTER_BOUND('',#313,.T.); -#202=FACE_OUTER_BOUND('',#314,.T.); -#203=FACE_OUTER_BOUND('',#315,.T.); -#204=FACE_OUTER_BOUND('',#316,.T.); -#205=FACE_OUTER_BOUND('',#317,.T.); -#206=FACE_OUTER_BOUND('',#318,.T.); -#207=FACE_OUTER_BOUND('',#319,.T.); -#208=FACE_OUTER_BOUND('',#320,.T.); -#209=FACE_OUTER_BOUND('',#321,.T.); -#210=FACE_OUTER_BOUND('',#322,.T.); -#211=FACE_OUTER_BOUND('',#323,.T.); -#212=FACE_OUTER_BOUND('',#324,.T.); -#213=FACE_OUTER_BOUND('',#325,.T.); -#214=EDGE_LOOP('',(#1146,#1147,#1148,#1149)); -#215=EDGE_LOOP('',(#1150,#1151,#1152,#1153)); -#216=EDGE_LOOP('',(#1154,#1155,#1156,#1157)); -#217=EDGE_LOOP('',(#1158,#1159,#1160,#1161)); -#218=EDGE_LOOP('',(#1162,#1163,#1164,#1165)); -#219=EDGE_LOOP('',(#1166,#1167,#1168,#1169)); -#220=EDGE_LOOP('',(#1170,#1171,#1172,#1173)); -#221=EDGE_LOOP('',(#1174,#1175,#1176,#1177)); -#222=EDGE_LOOP('',(#1178,#1179,#1180,#1181)); -#223=EDGE_LOOP('',(#1182,#1183,#1184,#1185)); -#224=EDGE_LOOP('',(#1186,#1187,#1188,#1189)); -#225=EDGE_LOOP('',(#1190,#1191,#1192,#1193)); -#226=EDGE_LOOP('',(#1194,#1195,#1196,#1197)); -#227=EDGE_LOOP('',(#1198,#1199,#1200,#1201)); -#228=EDGE_LOOP('',(#1202,#1203,#1204,#1205)); -#229=EDGE_LOOP('',(#1206,#1207,#1208,#1209)); -#230=EDGE_LOOP('',(#1210,#1211,#1212,#1213)); -#231=EDGE_LOOP('',(#1214,#1215,#1216,#1217)); -#232=EDGE_LOOP('',(#1218,#1219,#1220,#1221)); -#233=EDGE_LOOP('',(#1222,#1223,#1224,#1225)); -#234=EDGE_LOOP('',(#1226,#1227,#1228,#1229)); -#235=EDGE_LOOP('',(#1230,#1231,#1232,#1233)); -#236=EDGE_LOOP('',(#1234,#1235,#1236,#1237)); -#237=EDGE_LOOP('',(#1238,#1239,#1240,#1241)); -#238=EDGE_LOOP('',(#1242,#1243,#1244,#1245)); -#239=EDGE_LOOP('',(#1246,#1247,#1248,#1249,#1250)); -#240=EDGE_LOOP('',(#1251,#1252,#1253,#1254,#1255)); -#241=EDGE_LOOP('',(#1256,#1257,#1258,#1259)); -#242=EDGE_LOOP('',(#1260,#1261,#1262,#1263)); -#243=EDGE_LOOP('',(#1264,#1265,#1266,#1267,#1268,#1269)); -#244=EDGE_LOOP('',(#1270,#1271,#1272,#1273,#1274,#1275)); -#245=EDGE_LOOP('',(#1276,#1277,#1278,#1279,#1280)); -#246=EDGE_LOOP('',(#1281,#1282,#1283,#1284,#1285)); -#247=EDGE_LOOP('',(#1286,#1287,#1288,#1289,#1290)); -#248=EDGE_LOOP('',(#1291,#1292,#1293,#1294,#1295,#1296)); -#249=EDGE_LOOP('',(#1297,#1298,#1299,#1300,#1301)); -#250=EDGE_LOOP('',(#1302,#1303,#1304,#1305,#1306)); -#251=EDGE_LOOP('',(#1307,#1308,#1309,#1310,#1311)); -#252=EDGE_LOOP('',(#1312,#1313,#1314,#1315,#1316)); -#253=EDGE_LOOP('',(#1317,#1318,#1319,#1320,#1321,#1322,#1323,#1324)); -#254=EDGE_LOOP('',(#1325,#1326,#1327,#1328)); -#255=EDGE_LOOP('',(#1329,#1330,#1331,#1332,#1333,#1334)); -#256=EDGE_LOOP('',(#1335,#1336,#1337,#1338,#1339,#1340)); -#257=EDGE_LOOP('',(#1341,#1342,#1343,#1344)); -#258=EDGE_LOOP('',(#1345,#1346,#1347,#1348)); -#259=EDGE_LOOP('',(#1349,#1350,#1351,#1352,#1353,#1354,#1355,#1356,#1357, -#1358,#1359,#1360)); -#260=EDGE_LOOP('',(#1361,#1362,#1363,#1364)); -#261=EDGE_LOOP('',(#1365,#1366,#1367,#1368)); -#262=EDGE_LOOP('',(#1369)); -#263=EDGE_LOOP('',(#1370,#1371,#1372,#1373)); -#264=EDGE_LOOP('',(#1374,#1375,#1376,#1377)); -#265=EDGE_LOOP('',(#1378)); -#266=EDGE_LOOP('',(#1379)); -#267=EDGE_LOOP('',(#1380,#1381,#1382,#1383,#1384,#1385,#1386,#1387,#1388, -#1389,#1390,#1391)); -#268=EDGE_LOOP('',(#1392,#1393,#1394,#1395)); -#269=EDGE_LOOP('',(#1396,#1397)); -#270=EDGE_LOOP('',(#1398,#1399,#1400,#1401,#1402,#1403)); -#271=EDGE_LOOP('',(#1404,#1405,#1406,#1407)); -#272=EDGE_LOOP('',(#1408,#1409,#1410,#1411,#1412,#1413)); -#273=EDGE_LOOP('',(#1414,#1415,#1416,#1417,#1418)); -#274=EDGE_LOOP('',(#1419,#1420)); -#275=EDGE_LOOP('',(#1421,#1422,#1423,#1424)); -#276=EDGE_LOOP('',(#1425,#1426,#1427,#1428,#1429,#1430)); -#277=EDGE_LOOP('',(#1431,#1432,#1433,#1434)); -#278=EDGE_LOOP('',(#1435,#1436,#1437,#1438,#1439)); -#279=EDGE_LOOP('',(#1440,#1441,#1442,#1443)); -#280=EDGE_LOOP('',(#1444,#1445,#1446,#1447)); -#281=EDGE_LOOP('',(#1448,#1449,#1450,#1451,#1452,#1453,#1454,#1455)); -#282=EDGE_LOOP('',(#1456,#1457,#1458,#1459)); -#283=EDGE_LOOP('',(#1460,#1461,#1462,#1463)); -#284=EDGE_LOOP('',(#1464,#1465,#1466,#1467)); -#285=EDGE_LOOP('',(#1468,#1469,#1470,#1471,#1472)); -#286=EDGE_LOOP('',(#1473,#1474,#1475,#1476,#1477,#1478)); -#287=EDGE_LOOP('',(#1479,#1480,#1481,#1482)); -#288=EDGE_LOOP('',(#1483,#1484,#1485,#1486,#1487,#1488)); -#289=EDGE_LOOP('',(#1489,#1490,#1491,#1492,#1493,#1494)); -#290=EDGE_LOOP('',(#1495,#1496,#1497,#1498,#1499,#1500)); -#291=EDGE_LOOP('',(#1501,#1502,#1503,#1504)); -#292=EDGE_LOOP('',(#1505,#1506,#1507,#1508)); -#293=EDGE_LOOP('',(#1509,#1510,#1511,#1512)); -#294=EDGE_LOOP('',(#1513,#1514,#1515,#1516)); -#295=EDGE_LOOP('',(#1517,#1518,#1519,#1520)); -#296=EDGE_LOOP('',(#1521,#1522,#1523,#1524)); -#297=EDGE_LOOP('',(#1525,#1526,#1527,#1528)); -#298=EDGE_LOOP('',(#1529,#1530,#1531,#1532,#1533,#1534)); -#299=EDGE_LOOP('',(#1535,#1536,#1537,#1538)); -#300=EDGE_LOOP('',(#1539,#1540,#1541,#1542)); -#301=EDGE_LOOP('',(#1543,#1544,#1545,#1546)); -#302=EDGE_LOOP('',(#1547,#1548,#1549,#1550)); -#303=EDGE_LOOP('',(#1551,#1552,#1553,#1554,#1555,#1556)); -#304=EDGE_LOOP('',(#1557,#1558,#1559,#1560)); -#305=EDGE_LOOP('',(#1561,#1562,#1563,#1564,#1565,#1566,#1567,#1568)); -#306=EDGE_LOOP('',(#1569,#1570,#1571,#1572)); -#307=EDGE_LOOP('',(#1573,#1574,#1575,#1576)); -#308=EDGE_LOOP('',(#1577,#1578,#1579,#1580,#1581)); -#309=EDGE_LOOP('',(#1582,#1583,#1584,#1585,#1586)); -#310=EDGE_LOOP('',(#1587,#1588,#1589,#1590)); -#311=EDGE_LOOP('',(#1591,#1592,#1593,#1594)); -#312=EDGE_LOOP('',(#1595,#1596,#1597,#1598,#1599,#1600)); -#313=EDGE_LOOP('',(#1601,#1602,#1603,#1604,#1605)); -#314=EDGE_LOOP('',(#1606,#1607,#1608,#1609,#1610)); -#315=EDGE_LOOP('',(#1611,#1612,#1613,#1614,#1615)); -#316=EDGE_LOOP('',(#1616,#1617,#1618,#1619,#1620,#1621)); -#317=EDGE_LOOP('',(#1622,#1623,#1624,#1625)); -#318=EDGE_LOOP('',(#1626,#1627,#1628,#1629)); -#319=EDGE_LOOP('',(#1630,#1631,#1632,#1633,#1634)); -#320=EDGE_LOOP('',(#1635,#1636,#1637,#1638,#1639)); -#321=EDGE_LOOP('',(#1640,#1641,#1642,#1643,#1644,#1645)); -#322=EDGE_LOOP('',(#1646,#1647,#1648,#1649,#1650)); -#323=EDGE_LOOP('',(#1651,#1652,#1653,#1654,#1655)); -#324=EDGE_LOOP('',(#1656,#1657,#1658,#1659,#1660)); -#325=EDGE_LOOP('',(#1661,#1662,#1663,#1664,#1665)); -#326=CIRCLE('',#1862,0.0761999999998476); -#327=CIRCLE('',#1863,0.203199999998451); -#328=CIRCLE('',#1867,0.0761999999998476); -#329=CIRCLE('',#1868,0.203199999998451); -#330=CIRCLE('',#1871,0.0761999999999746); -#331=CIRCLE('',#1872,0.203199999998908); -#332=CIRCLE('',#1876,0.203199999999213); -#333=CIRCLE('',#1877,0.0761999999999238); -#334=CIRCLE('',#1879,0.203200000000127); -#335=CIRCLE('',#1880,0.0762000000002286); -#336=CIRCLE('',#1883,0.0761999999999238); -#337=CIRCLE('',#1884,0.203199999999213); -#338=CIRCLE('',#1887,0.0762000000002286); -#339=CIRCLE('',#1888,0.203200000000127); -#340=CIRCLE('',#1892,0.203200000000127); -#341=CIRCLE('',#1893,0.0762000000002286); -#342=CIRCLE('',#1895,0.0761999999999238); -#343=CIRCLE('',#1896,0.203199999999213); -#344=CIRCLE('',#1900,0.0761999999999238); -#345=CIRCLE('',#1901,0.203199999999213); -#346=CIRCLE('',#1904,0.0762000000002286); -#347=CIRCLE('',#1905,0.203200000000127); -#348=CIRCLE('',#1913,0.177800000000178); -#349=CIRCLE('',#1915,0.177800000000178); -#350=CIRCLE('',#1923,0.177800000000025); -#351=CIRCLE('',#1924,0.177800000000025); -#352=CIRCLE('',#1925,0.177800000000025); -#353=CIRCLE('',#1932,0.812799999999746); -#354=CIRCLE('',#1935,0.812799999999746); -#355=CIRCLE('',#1937,0.762); -#356=CIRCLE('',#1939,0.762); -#357=CIRCLE('',#1940,0.762); -#358=CIRCLE('',#1941,0.761998630069288); -#359=CIRCLE('',#1942,0.762); -#360=CIRCLE('',#1943,0.762); -#361=CIRCLE('',#1945,0.685797856064232); -#362=CIRCLE('',#1951,0.685797855938502); -#363=CIRCLE('',#1956,0.0761999999999746); -#364=CIRCLE('',#1961,0.0761999999999746); -#365=CIRCLE('',#1963,0.0761999999999746); -#366=CIRCLE('',#1965,0.203199999998908); -#367=CIRCLE('',#1967,0.292100000000254); -#368=CIRCLE('',#1969,0.292100000000254); -#369=CIRCLE('',#1984,0.177800000000025); -#370=CIRCLE('',#1986,0.177800000000025); -#371=CIRCLE('',#1988,0.177800000000025); -#372=CIRCLE('',#1996,0.177800000000178); -#373=CIRCLE('',#2000,0.177800000000178); -#374=LINE('',#2480,#552); -#375=LINE('',#2484,#553); -#376=LINE('',#2488,#554); -#377=LINE('',#2490,#555); -#378=LINE('',#2491,#556); -#379=LINE('',#2494,#557); -#380=LINE('',#2496,#558); -#381=LINE('',#2497,#559); -#382=LINE('',#2501,#560); -#383=LINE('',#2505,#561); -#384=LINE('',#2509,#562); -#385=LINE('',#2511,#563); -#386=LINE('',#2512,#564); -#387=LINE('',#2517,#565); -#388=LINE('',#2522,#566); -#389=LINE('',#2524,#567); -#390=LINE('',#2526,#568); -#391=LINE('',#2527,#569); -#392=LINE('',#2530,#570); -#393=LINE('',#2532,#571); -#394=LINE('',#2533,#572); -#395=LINE('',#2538,#573); -#396=LINE('',#2543,#574); -#397=LINE('',#2547,#575); -#398=LINE('',#2551,#576); -#399=LINE('',#2553,#577); -#400=LINE('',#2554,#578); -#401=LINE('',#2558,#579); -#402=LINE('',#2562,#580); -#403=LINE('',#2566,#581); -#404=LINE('',#2568,#582); -#405=LINE('',#2569,#583); -#406=LINE('',#2574,#584); -#407=LINE('',#2578,#585); -#408=LINE('',#2580,#586); -#409=LINE('',#2581,#587); -#410=LINE('',#2585,#588); -#411=LINE('',#2587,#589); -#412=LINE('',#2589,#590); -#413=LINE('',#2590,#591); -#414=LINE('',#2595,#592); -#415=LINE('',#2601,#593); -#416=LINE('',#2605,#594); -#417=LINE('',#2607,#595); -#418=LINE('',#2608,#596); -#419=LINE('',#2612,#597); -#420=LINE('',#2614,#598); -#421=LINE('',#2616,#599); -#422=LINE('',#2617,#600); -#423=LINE('',#2622,#601); -#424=LINE('',#2626,#602); -#425=LINE('',#2628,#603); -#426=LINE('',#2629,#604); -#427=LINE('',#2633,#605); -#428=LINE('',#2637,#606); -#429=LINE('',#2641,#607); -#430=LINE('',#2643,#608); -#431=LINE('',#2644,#609); -#432=LINE('',#2646,#610); -#433=LINE('',#2647,#611); -#434=LINE('',#2650,#612); -#435=LINE('',#2651,#613); -#436=LINE('',#2653,#614); -#437=LINE('',#2655,#615); -#438=LINE('',#2656,#616); -#439=LINE('',#2658,#617); -#440=LINE('',#2660,#618); -#441=LINE('',#2663,#619); -#442=LINE('',#2666,#620); -#443=LINE('',#2667,#621); -#444=LINE('',#2669,#622); -#445=LINE('',#2671,#623); -#446=LINE('',#2674,#624); -#447=LINE('',#2694,#625); -#448=LINE('',#2697,#626); -#449=LINE('',#2753,#627); -#450=LINE('',#2754,#628); -#451=LINE('',#2755,#629); -#452=LINE('',#2758,#630); -#453=LINE('',#2759,#631); -#454=LINE('',#2800,#632); -#455=LINE('',#2828,#633); -#456=LINE('',#2831,#634); -#457=LINE('',#2863,#635); -#458=LINE('',#2864,#636); -#459=LINE('',#2871,#637); -#460=LINE('',#2872,#638); -#461=LINE('',#2878,#639); -#462=LINE('',#2880,#640); -#463=LINE('',#2882,#641); -#464=LINE('',#2883,#642); -#465=LINE('',#2884,#643); -#466=LINE('',#2885,#644); -#467=LINE('',#2886,#645); -#468=LINE('',#2887,#646); -#469=LINE('',#2889,#647); -#470=LINE('',#2892,#648); -#471=LINE('',#2896,#649); -#472=LINE('',#2897,#650); -#473=LINE('',#2898,#651); -#474=LINE('',#2901,#652); -#475=LINE('',#2903,#653); -#476=LINE('',#2904,#654); -#477=LINE('',#2914,#655); -#478=LINE('',#2922,#656); -#479=LINE('',#2924,#657); -#480=LINE('',#2926,#658); -#481=LINE('',#2928,#659); -#482=LINE('',#2930,#660); -#483=LINE('',#2932,#661); -#484=LINE('',#2934,#662); -#485=LINE('',#2935,#663); -#486=LINE('',#2937,#664); -#487=LINE('',#2939,#665); -#488=LINE('',#2945,#666); -#489=LINE('',#2952,#667); -#490=LINE('',#2956,#668); -#491=LINE('',#2960,#669); -#492=LINE('',#2964,#670); -#493=LINE('',#2968,#671); -#494=LINE('',#2981,#672); -#495=LINE('',#2983,#673); -#496=LINE('',#2985,#674); -#497=LINE('',#2992,#675); -#498=LINE('',#3051,#676); -#499=LINE('',#3071,#677); -#500=LINE('',#3114,#678); -#501=LINE('',#3143,#679); -#502=LINE('',#3146,#680); -#503=LINE('',#3150,#681); -#504=LINE('',#3151,#682); -#505=LINE('',#3154,#683); -#506=LINE('',#3155,#684); -#507=LINE('',#3157,#685); -#508=LINE('',#3158,#686); -#509=LINE('',#3160,#687); -#510=LINE('',#3168,#688); -#511=LINE('',#3208,#689); -#512=LINE('',#3210,#690); -#513=LINE('',#3229,#691); -#514=LINE('',#3230,#692); -#515=LINE('',#3260,#693); -#516=LINE('',#3262,#694); -#517=LINE('',#3265,#695); -#518=LINE('',#3266,#696); -#519=LINE('',#3268,#697); -#520=LINE('',#3270,#698); -#521=LINE('',#3271,#699); -#522=LINE('',#3273,#700); -#523=LINE('',#3284,#701); -#524=LINE('',#3287,#702); -#525=LINE('',#3290,#703); -#526=LINE('',#3294,#704); -#527=LINE('',#3295,#705); -#528=LINE('',#3297,#706); -#529=LINE('',#3299,#707); -#530=LINE('',#3302,#708); -#531=LINE('',#3305,#709); -#532=LINE('',#3307,#710); -#533=LINE('',#3308,#711); -#534=LINE('',#3310,#712); -#535=LINE('',#3311,#713); -#536=LINE('',#3313,#714); -#537=LINE('',#3314,#715); -#538=LINE('',#3317,#716); -#539=LINE('',#3319,#717); -#540=LINE('',#3321,#718); -#541=LINE('',#3324,#719); -#542=LINE('',#3377,#720); -#543=LINE('',#3386,#721); -#544=LINE('',#3398,#722); -#545=LINE('',#3401,#723); -#546=LINE('',#3402,#724); -#547=LINE('',#3461,#725); -#548=LINE('',#3463,#726); -#549=LINE('',#3474,#727); -#550=LINE('',#3477,#728); -#551=LINE('',#3478,#729); -#552=VECTOR('',#2010,0.126999999999263); -#553=VECTOR('',#2013,0.126999999999314); -#554=VECTOR('',#2018,0.2921); -#555=VECTOR('',#2019,0.126999999999467); -#556=VECTOR('',#2020,0.2921); -#557=VECTOR('',#2023,0.91083743404666); -#558=VECTOR('',#2024,0.126999999999975); -#559=VECTOR('',#2025,0.91083743404666); -#560=VECTOR('',#2028,0.126999999999314); -#561=VECTOR('',#2031,0.126999999999314); -#562=VECTOR('',#2036,0.2921); -#563=VECTOR('',#2037,0.126999999999467); -#564=VECTOR('',#2038,0.2921); -#565=VECTOR('',#2043,0.127053395926838); -#566=VECTOR('',#2048,0.126999999999695); -#567=VECTOR('',#2049,0.6837426); -#568=VECTOR('',#2050,0.127000000000051); -#569=VECTOR('',#2051,0.18249167774675); -#570=VECTOR('',#2054,0.6837426); -#571=VECTOR('',#2055,0.127); -#572=VECTOR('',#2056,0.18249167774675); -#573=VECTOR('',#2061,0.127000000000076); -#574=VECTOR('',#2066,0.127); -#575=VECTOR('',#2069,0.126999999999947); -#576=VECTOR('',#2074,0.174653531762959); -#577=VECTOR('',#2075,0.1569806331425); -#578=VECTOR('',#2076,0.0823826307062876); -#579=VECTOR('',#2079,0.12699999999967); -#580=VECTOR('',#2082,0.127000000000102); -#581=VECTOR('',#2087,0.321035238121026); -#582=VECTOR('',#2088,0.127); -#583=VECTOR('',#2089,0.321035238121026); -#584=VECTOR('',#2094,0.126999999999947); -#585=VECTOR('',#2099,0.082382630706267); -#586=VECTOR('',#2100,0.156980633142475); -#587=VECTOR('',#2101,0.174653531762934); -#588=VECTOR('',#2104,0.127000000000076); -#589=VECTOR('',#2105,0.321035238121026); -#590=VECTOR('',#2106,0.127); -#591=VECTOR('',#2107,0.321035238121026); -#592=VECTOR('',#2112,0.126999999999947); -#593=VECTOR('',#2119,0.126999999999644); -#594=VECTOR('',#2124,0.174653531762959); -#595=VECTOR('',#2125,0.156980633142475); -#596=VECTOR('',#2126,0.0823826307062876); -#597=VECTOR('',#2129,0.126999999999619); -#598=VECTOR('',#2130,0.6837426); -#599=VECTOR('',#2131,0.126999999999949); -#600=VECTOR('',#2132,0.6837426); -#601=VECTOR('',#2137,0.127000000000102); -#602=VECTOR('',#2142,0.6837426); -#603=VECTOR('',#2143,0.127); -#604=VECTOR('',#2144,0.6837426); -#605=VECTOR('',#2147,0.127); -#606=VECTOR('',#2150,0.126999999999973); -#607=VECTOR('',#2155,0.082382630706267); -#608=VECTOR('',#2156,0.156980633142475); -#609=VECTOR('',#2157,0.174653531762934); -#610=VECTOR('',#2160,0.8128); -#611=VECTOR('',#2161,0.8128); -#612=VECTOR('',#2164,0.866234277746674); -#613=VECTOR('',#2165,0.8128); -#614=VECTOR('',#2168,0.8128); -#615=VECTOR('',#2169,0.866234277746674); -#616=VECTOR('',#2170,0.8128); -#617=VECTOR('',#2173,0.127000000000051); -#618=VECTOR('',#2176,0.8128); -#619=VECTOR('',#2179,0.245322373103472); -#620=VECTOR('',#2182,0.245322373103472); -#621=VECTOR('',#2183,0.321035238121026); -#622=VECTOR('',#2186,0.321035238121026); -#623=VECTOR('',#2187,0.245322373103523); -#624=VECTOR('',#2190,0.245322373103472); -#625=VECTOR('',#2193,0.232006706074357); -#626=VECTOR('',#2196,0.2320065656627); -#627=VECTOR('',#2197,0.127); -#628=VECTOR('',#2198,0.127000493274018); -#629=VECTOR('',#2199,0.127); -#630=VECTOR('',#2202,0.127); -#631=VECTOR('',#2203,0.127); -#632=VECTOR('',#2204,0.12700000000005); -#633=VECTOR('',#2207,0.232006843874742); -#634=VECTOR('',#2210,0.232006706074382); -#635=VECTOR('',#2211,0.127000000000004); -#636=VECTOR('',#2212,0.127000000000004); -#637=VECTOR('',#2219,0.127000000000005); -#638=VECTOR('',#2220,0.127000000000005); -#639=VECTOR('',#2227,4.826); -#640=VECTOR('',#2228,5.08); -#641=VECTOR('',#2229,4.826); -#642=VECTOR('',#2230,5.08); -#643=VECTOR('',#2231,0.8128); -#644=VECTOR('',#2232,0.272538439314716); -#645=VECTOR('',#2233,0.272538439314716); -#646=VECTOR('',#2234,0.8128); -#647=VECTOR('',#2235,0.272538439314716); -#648=VECTOR('',#2238,0.272538439314716); -#649=VECTOR('',#2241,4.826); -#650=VECTOR('',#2242,3.5306); -#651=VECTOR('',#2243,3.5306); -#652=VECTOR('',#2246,5.08); -#653=VECTOR('',#2247,4.826); -#654=VECTOR('',#2248,5.08); -#655=VECTOR('',#2249,10.); -#656=VECTOR('',#2250,0.353040843572222); -#657=VECTOR('',#2251,0.127); -#658=VECTOR('',#2252,0.355514591201298); -#659=VECTOR('',#2253,1.016); -#660=VECTOR('',#2254,0.355514591201298); -#661=VECTOR('',#2255,0.127); -#662=VECTOR('',#2256,0.127053395926863); -#663=VECTOR('',#2257,10.); -#664=VECTOR('',#2260,3.5306); -#665=VECTOR('',#2263,3.5306); -#666=VECTOR('',#2270,0.812799999999746); -#667=VECTOR('',#2279,0.762); -#668=VECTOR('',#2282,10.); -#669=VECTOR('',#2285,10.); -#670=VECTOR('',#2288,0.431800736917007); -#671=VECTOR('',#2291,0.431801298708078); -#672=VECTOR('',#2300,10.); -#673=VECTOR('',#2303,10.); -#674=VECTOR('',#2306,10.); -#675=VECTOR('',#2309,10.); -#676=VECTOR('',#2316,0.105575328154684); -#677=VECTOR('',#2319,0.583633960489968); -#678=VECTOR('',#2320,0.105575328154686); -#679=VECTOR('',#2323,0.362209996456892); -#680=VECTOR('',#2326,0.127); -#681=VECTOR('',#2331,0.2794); -#682=VECTOR('',#2332,0.0725931999999746); -#683=VECTOR('',#2335,1.016); -#684=VECTOR('',#2336,1.27); -#685=VECTOR('',#2337,0.127); -#686=VECTOR('',#2338,0.2794); -#687=VECTOR('',#2341,0.0725931999999746); -#688=VECTOR('',#2354,0.362209996456892); -#689=VECTOR('',#2355,0.12699999999967); -#690=VECTOR('',#2356,0.12699999999967); -#691=VECTOR('',#2365,0.12699999999967); -#692=VECTOR('',#2366,0.12699999999967); -#693=VECTOR('',#2369,1.27); -#694=VECTOR('',#2372,1.27); -#695=VECTOR('',#2375,0.91083743404666); -#696=VECTOR('',#2376,1.27); -#697=VECTOR('',#2379,1.27); -#698=VECTOR('',#2380,0.91083743404666); -#699=VECTOR('',#2381,1.27); -#700=VECTOR('',#2384,0.127000000000051); -#701=VECTOR('',#2403,0.232006843874742); -#702=VECTOR('',#2406,0.232006565662674); -#703=VECTOR('',#2409,0.232006706074357); -#704=VECTOR('',#2414,0.126999999999995); -#705=VECTOR('',#2415,0.126999999999995); -#706=VECTOR('',#2416,0.127000000000001); -#707=VECTOR('',#2417,0.127000000000001); -#708=VECTOR('',#2422,0.232006706074382); -#709=VECTOR('',#2425,0.866234277746674); -#710=VECTOR('',#2426,0.126999999999949); -#711=VECTOR('',#2427,0.866234277746674); -#712=VECTOR('',#2430,0.8128); -#713=VECTOR('',#2431,0.8128); -#714=VECTOR('',#2434,0.8128); -#715=VECTOR('',#2435,0.8128); -#716=VECTOR('',#2440,0.8128); -#717=VECTOR('',#2443,0.321035238121026); -#718=VECTOR('',#2444,0.245322373103472); -#719=VECTOR('',#2447,0.245322373103472); -#720=VECTOR('',#2450,0.12700000000005); -#721=VECTOR('',#2453,0.245322373103498); -#722=VECTOR('',#2456,0.8128); -#723=VECTOR('',#2459,0.245322373103498); -#724=VECTOR('',#2460,0.321035238121026); -#725=VECTOR('',#2467,0.127); -#726=VECTOR('',#2468,0.127000493274021); -#727=VECTOR('',#2469,0.127); -#728=VECTOR('',#2472,0.127); -#729=VECTOR('',#2473,0.127); -#730=VERTEX_POINT('',#2478); -#731=VERTEX_POINT('',#2479); -#732=VERTEX_POINT('',#2481); -#733=VERTEX_POINT('',#2483); -#734=VERTEX_POINT('',#2487); -#735=VERTEX_POINT('',#2489); -#736=VERTEX_POINT('',#2493); -#737=VERTEX_POINT('',#2495); -#738=VERTEX_POINT('',#2499); -#739=VERTEX_POINT('',#2500); -#740=VERTEX_POINT('',#2502); -#741=VERTEX_POINT('',#2504); -#742=VERTEX_POINT('',#2508); -#743=VERTEX_POINT('',#2510); -#744=VERTEX_POINT('',#2514); -#745=VERTEX_POINT('',#2516); -#746=VERTEX_POINT('',#2520); -#747=VERTEX_POINT('',#2521); -#748=VERTEX_POINT('',#2523); -#749=VERTEX_POINT('',#2525); -#750=VERTEX_POINT('',#2529); -#751=VERTEX_POINT('',#2531); -#752=VERTEX_POINT('',#2535); -#753=VERTEX_POINT('',#2537); -#754=VERTEX_POINT('',#2541); -#755=VERTEX_POINT('',#2542); -#756=VERTEX_POINT('',#2544); -#757=VERTEX_POINT('',#2546); -#758=VERTEX_POINT('',#2550); -#759=VERTEX_POINT('',#2552); -#760=VERTEX_POINT('',#2556); -#761=VERTEX_POINT('',#2557); -#762=VERTEX_POINT('',#2559); -#763=VERTEX_POINT('',#2561); -#764=VERTEX_POINT('',#2565); -#765=VERTEX_POINT('',#2567); -#766=VERTEX_POINT('',#2571); -#767=VERTEX_POINT('',#2573); -#768=VERTEX_POINT('',#2577); -#769=VERTEX_POINT('',#2579); -#770=VERTEX_POINT('',#2583); -#771=VERTEX_POINT('',#2584); -#772=VERTEX_POINT('',#2586); -#773=VERTEX_POINT('',#2588); -#774=VERTEX_POINT('',#2592); -#775=VERTEX_POINT('',#2594); -#776=VERTEX_POINT('',#2598); -#777=VERTEX_POINT('',#2600); -#778=VERTEX_POINT('',#2604); -#779=VERTEX_POINT('',#2606); -#780=VERTEX_POINT('',#2610); -#781=VERTEX_POINT('',#2611); -#782=VERTEX_POINT('',#2613); -#783=VERTEX_POINT('',#2615); -#784=VERTEX_POINT('',#2619); -#785=VERTEX_POINT('',#2621); -#786=VERTEX_POINT('',#2625); -#787=VERTEX_POINT('',#2627); -#788=VERTEX_POINT('',#2631); -#789=VERTEX_POINT('',#2632); -#790=VERTEX_POINT('',#2634); -#791=VERTEX_POINT('',#2636); -#792=VERTEX_POINT('',#2640); -#793=VERTEX_POINT('',#2642); -#794=VERTEX_POINT('',#2649); -#795=VERTEX_POINT('',#2654); -#796=VERTEX_POINT('',#2662); -#797=VERTEX_POINT('',#2664); -#798=VERTEX_POINT('',#2670); -#799=VERTEX_POINT('',#2672); -#800=VERTEX_POINT('',#2676); -#801=VERTEX_POINT('',#2687); -#802=VERTEX_POINT('',#2696); -#803=VERTEX_POINT('',#2698); -#804=VERTEX_POINT('',#2752); -#805=VERTEX_POINT('',#2757); -#806=VERTEX_POINT('',#2788); -#807=VERTEX_POINT('',#2799); -#808=VERTEX_POINT('',#2821); -#809=VERTEX_POINT('',#2830); -#810=VERTEX_POINT('',#2862); -#811=VERTEX_POINT('',#2866); -#812=VERTEX_POINT('',#2867); -#813=VERTEX_POINT('',#2870); -#814=VERTEX_POINT('',#2876); -#815=VERTEX_POINT('',#2877); -#816=VERTEX_POINT('',#2879); -#817=VERTEX_POINT('',#2881); -#818=VERTEX_POINT('',#2888); -#819=VERTEX_POINT('',#2890); -#820=VERTEX_POINT('',#2894); -#821=VERTEX_POINT('',#2895); -#822=VERTEX_POINT('',#2900); -#823=VERTEX_POINT('',#2902); -#824=VERTEX_POINT('',#2905); -#825=VERTEX_POINT('',#2906); -#826=VERTEX_POINT('',#2913); -#827=VERTEX_POINT('',#2915); -#828=VERTEX_POINT('',#2923); -#829=VERTEX_POINT('',#2925); -#830=VERTEX_POINT('',#2927); -#831=VERTEX_POINT('',#2929); -#832=VERTEX_POINT('',#2931); -#833=VERTEX_POINT('',#2933); -#834=VERTEX_POINT('',#2940); -#835=VERTEX_POINT('',#2944); -#836=VERTEX_POINT('',#2948); -#837=VERTEX_POINT('',#2951); -#838=VERTEX_POINT('',#2953); -#839=VERTEX_POINT('',#2955); -#840=VERTEX_POINT('',#2957); -#841=VERTEX_POINT('',#2959); -#842=VERTEX_POINT('',#2961); -#843=VERTEX_POINT('',#2963); -#844=VERTEX_POINT('',#2965); -#845=VERTEX_POINT('',#2967); -#846=VERTEX_POINT('',#2971); -#847=VERTEX_POINT('',#2972); -#848=VERTEX_POINT('',#2987); -#849=VERTEX_POINT('',#2991); -#850=VERTEX_POINT('',#3033); -#851=VERTEX_POINT('',#3050); -#852=VERTEX_POINT('',#3059); -#853=VERTEX_POINT('',#3070); -#854=VERTEX_POINT('',#3072); -#855=VERTEX_POINT('',#3113); -#856=VERTEX_POINT('',#3132); -#857=VERTEX_POINT('',#3145); -#858=VERTEX_POINT('',#3149); -#859=VERTEX_POINT('',#3153); -#860=VERTEX_POINT('',#3156); -#861=VERTEX_POINT('',#3167); -#862=VERTEX_POINT('',#3207); -#863=VERTEX_POINT('',#3209); -#864=VERTEX_POINT('',#3218); -#865=VERTEX_POINT('',#3228); -#866=VERTEX_POINT('',#3264); -#867=VERTEX_POINT('',#3269); -#868=VERTEX_POINT('',#3283); -#869=VERTEX_POINT('',#3285); -#870=VERTEX_POINT('',#3289); -#871=VERTEX_POINT('',#3293); -#872=VERTEX_POINT('',#3296); -#873=VERTEX_POINT('',#3298); -#874=VERTEX_POINT('',#3304); -#875=VERTEX_POINT('',#3306); -#876=VERTEX_POINT('',#3320); -#877=VERTEX_POINT('',#3322); -#878=VERTEX_POINT('',#3326); -#879=VERTEX_POINT('',#3376); -#880=VERTEX_POINT('',#3385); -#881=VERTEX_POINT('',#3399); -#882=VERTEX_POINT('',#3406); -#883=VERTEX_POINT('',#3460); -#884=VERTEX_POINT('',#3462); -#885=VERTEX_POINT('',#3476); -#886=EDGE_CURVE('',#730,#731,#374,.T.); -#887=EDGE_CURVE('',#732,#730,#326,.T.); -#888=EDGE_CURVE('',#732,#733,#375,.T.); -#889=EDGE_CURVE('',#731,#733,#327,.T.); -#890=EDGE_CURVE('',#734,#731,#376,.T.); -#891=EDGE_CURVE('',#735,#734,#377,.T.); -#892=EDGE_CURVE('',#735,#730,#378,.T.); -#893=EDGE_CURVE('',#732,#736,#379,.T.); -#894=EDGE_CURVE('',#737,#736,#380,.T.); -#895=EDGE_CURVE('',#733,#737,#381,.T.); -#896=EDGE_CURVE('',#738,#739,#382,.T.); -#897=EDGE_CURVE('',#740,#738,#328,.T.); -#898=EDGE_CURVE('',#740,#741,#383,.T.); -#899=EDGE_CURVE('',#739,#741,#329,.T.); -#900=EDGE_CURVE('',#740,#742,#384,.T.); -#901=EDGE_CURVE('',#742,#743,#385,.T.); -#902=EDGE_CURVE('',#741,#743,#386,.T.); -#903=EDGE_CURVE('',#744,#742,#330,.T.); -#904=EDGE_CURVE('',#744,#745,#387,.T.); -#905=EDGE_CURVE('',#743,#745,#331,.T.); -#906=EDGE_CURVE('',#746,#747,#388,.T.); -#907=EDGE_CURVE('',#746,#748,#389,.T.); -#908=EDGE_CURVE('',#748,#749,#390,.T.); -#909=EDGE_CURVE('',#749,#747,#391,.T.); -#910=EDGE_CURVE('',#748,#750,#392,.T.); -#911=EDGE_CURVE('',#751,#750,#393,.T.); -#912=EDGE_CURVE('',#751,#749,#394,.T.); -#913=EDGE_CURVE('',#752,#747,#332,.T.); -#914=EDGE_CURVE('',#753,#752,#395,.T.); -#915=EDGE_CURVE('',#746,#753,#333,.T.); -#916=EDGE_CURVE('',#754,#755,#396,.T.); -#917=EDGE_CURVE('',#756,#754,#334,.T.); -#918=EDGE_CURVE('',#756,#757,#397,.T.); -#919=EDGE_CURVE('',#755,#757,#335,.T.); -#920=EDGE_CURVE('',#758,#756,#398,.T.); -#921=EDGE_CURVE('',#759,#758,#399,.T.); -#922=EDGE_CURVE('',#759,#757,#400,.T.); -#923=EDGE_CURVE('',#760,#761,#401,.T.); -#924=EDGE_CURVE('',#762,#760,#336,.T.); -#925=EDGE_CURVE('',#762,#763,#402,.T.); -#926=EDGE_CURVE('',#761,#763,#337,.T.); -#927=EDGE_CURVE('',#764,#762,#403,.T.); -#928=EDGE_CURVE('',#764,#765,#404,.T.); -#929=EDGE_CURVE('',#763,#765,#405,.T.); -#930=EDGE_CURVE('',#766,#764,#338,.T.); -#931=EDGE_CURVE('',#766,#767,#406,.T.); -#932=EDGE_CURVE('',#765,#767,#339,.T.); -#933=EDGE_CURVE('',#766,#768,#407,.T.); -#934=EDGE_CURVE('',#769,#768,#408,.T.); -#935=EDGE_CURVE('',#769,#767,#409,.T.); -#936=EDGE_CURVE('',#770,#771,#410,.T.); -#937=EDGE_CURVE('',#772,#770,#411,.T.); -#938=EDGE_CURVE('',#772,#773,#412,.T.); -#939=EDGE_CURVE('',#771,#773,#413,.T.); -#940=EDGE_CURVE('',#774,#772,#340,.T.); -#941=EDGE_CURVE('',#774,#775,#414,.T.); -#942=EDGE_CURVE('',#773,#775,#341,.T.); -#943=EDGE_CURVE('',#776,#771,#342,.T.); -#944=EDGE_CURVE('',#777,#776,#415,.T.); -#945=EDGE_CURVE('',#770,#777,#343,.T.); -#946=EDGE_CURVE('',#774,#778,#416,.T.); -#947=EDGE_CURVE('',#779,#778,#417,.T.); -#948=EDGE_CURVE('',#779,#775,#418,.T.); -#949=EDGE_CURVE('',#780,#781,#419,.T.); -#950=EDGE_CURVE('',#780,#782,#420,.T.); -#951=EDGE_CURVE('',#782,#783,#421,.T.); -#952=EDGE_CURVE('',#781,#783,#422,.T.); -#953=EDGE_CURVE('',#784,#781,#344,.T.); -#954=EDGE_CURVE('',#785,#784,#423,.T.); -#955=EDGE_CURVE('',#780,#785,#345,.T.); -#956=EDGE_CURVE('',#782,#786,#424,.T.); -#957=EDGE_CURVE('',#787,#786,#425,.T.); -#958=EDGE_CURVE('',#783,#787,#426,.T.); -#959=EDGE_CURVE('',#788,#789,#427,.T.); -#960=EDGE_CURVE('',#790,#788,#346,.T.); -#961=EDGE_CURVE('',#790,#791,#428,.T.); -#962=EDGE_CURVE('',#789,#791,#347,.T.); -#963=EDGE_CURVE('',#792,#790,#429,.T.); -#964=EDGE_CURVE('',#793,#792,#430,.T.); -#965=EDGE_CURVE('',#793,#791,#431,.T.); -#966=EDGE_CURVE('',#761,#747,#432,.T.); -#967=EDGE_CURVE('',#752,#763,#433,.T.); -#968=EDGE_CURVE('',#794,#761,#434,.T.); -#969=EDGE_CURVE('',#794,#751,#435,.T.); -#970=EDGE_CURVE('',#746,#760,#436,.T.); -#971=EDGE_CURVE('',#760,#795,#437,.T.); -#972=EDGE_CURVE('',#750,#795,#438,.T.); -#973=EDGE_CURVE('',#795,#794,#439,.T.); -#974=EDGE_CURVE('',#762,#753,#440,.T.); -#975=EDGE_CURVE('',#765,#796,#441,.T.); -#976=EDGE_CURVE('',#797,#796,#348,.T.); -#977=EDGE_CURVE('',#797,#754,#442,.T.); -#978=EDGE_CURVE('',#752,#754,#443,.T.); -#979=EDGE_CURVE('',#755,#753,#444,.T.); -#980=EDGE_CURVE('',#755,#798,#445,.T.); -#981=EDGE_CURVE('',#799,#798,#349,.T.); -#982=EDGE_CURVE('',#799,#764,#446,.T.); -#983=EDGE_CURVE('',#800,#799,#53,.T.); -#984=EDGE_CURVE('',#801,#800,#54,.T.); -#985=EDGE_CURVE('',#766,#801,#447,.T.); -#986=EDGE_CURVE('',#802,#767,#448,.T.); -#987=EDGE_CURVE('',#803,#802,#55,.T.); -#988=EDGE_CURVE('',#796,#803,#56,.T.); -#989=EDGE_CURVE('',#796,#804,#449,.T.); -#990=EDGE_CURVE('',#800,#803,#450,.T.); -#991=EDGE_CURVE('',#804,#799,#451,.T.); -#992=EDGE_CURVE('',#798,#805,#452,.T.); -#993=EDGE_CURVE('',#805,#797,#453,.T.); -#994=EDGE_CURVE('',#798,#806,#57,.T.); -#995=EDGE_CURVE('',#807,#806,#454,.T.); -#996=EDGE_CURVE('',#807,#797,#58,.T.); -#997=EDGE_CURVE('',#808,#807,#59,.T.); -#998=EDGE_CURVE('',#756,#808,#455,.T.); -#999=EDGE_CURVE('',#809,#757,#456,.T.); -#1000=EDGE_CURVE('',#806,#809,#60,.T.); -#1001=EDGE_CURVE('',#808,#810,#457,.T.); -#1002=EDGE_CURVE('',#810,#809,#458,.T.); -#1003=EDGE_CURVE('',#811,#812,#39,.T.); -#1004=EDGE_CURVE('',#812,#801,#350,.T.); -#1005=EDGE_CURVE('',#801,#813,#459,.T.); -#1006=EDGE_CURVE('',#813,#802,#460,.T.); -#1007=EDGE_CURVE('',#802,#808,#351,.T.); -#1008=EDGE_CURVE('',#809,#811,#352,.T.); -#1009=EDGE_CURVE('',#814,#815,#461,.T.); -#1010=EDGE_CURVE('',#816,#815,#462,.T.); -#1011=EDGE_CURVE('',#817,#816,#463,.T.); -#1012=EDGE_CURVE('',#817,#814,#464,.T.); -#1013=EDGE_CURVE('',#769,#758,#465,.T.); -#1014=EDGE_CURVE('',#812,#768,#466,.T.); -#1015=EDGE_CURVE('',#759,#811,#467,.T.); -#1016=EDGE_CURVE('',#793,#778,#468,.T.); -#1017=EDGE_CURVE('',#818,#792,#469,.T.); -#1018=EDGE_CURVE('',#819,#818,#40,.T.); -#1019=EDGE_CURVE('',#779,#819,#470,.T.); -#1020=EDGE_CURVE('',#820,#821,#471,.T.); -#1021=EDGE_CURVE('',#815,#821,#472,.T.); -#1022=EDGE_CURVE('',#820,#814,#473,.T.); -#1023=EDGE_CURVE('',#822,#820,#474,.T.); -#1024=EDGE_CURVE('',#823,#822,#475,.T.); -#1025=EDGE_CURVE('',#821,#823,#476,.T.); -#1026=EDGE_CURVE('',#824,#825,#61,.T.); -#1027=EDGE_CURVE('',#825,#826,#477,.T.); -#1028=EDGE_CURVE('',#826,#827,#62,.T.); -#1029=EDGE_CURVE('',#827,#745,#478,.T.); -#1030=EDGE_CURVE('',#744,#828,#479,.T.); -#1031=EDGE_CURVE('',#829,#828,#480,.T.); -#1032=EDGE_CURVE('',#830,#829,#481,.T.); -#1033=EDGE_CURVE('',#831,#830,#482,.T.); -#1034=EDGE_CURVE('',#831,#832,#483,.T.); -#1035=EDGE_CURVE('',#833,#832,#484,.T.); -#1036=EDGE_CURVE('',#833,#824,#485,.T.); -#1037=EDGE_CURVE('',#823,#816,#486,.T.); -#1038=EDGE_CURVE('',#822,#817,#487,.T.); -#1039=EDGE_CURVE('',#834,#834,#353,.T.); -#1040=EDGE_CURVE('',#834,#835,#488,.T.); -#1041=EDGE_CURVE('',#835,#835,#354,.T.); -#1042=EDGE_CURVE('',#836,#836,#355,.T.); -#1043=EDGE_CURVE('',#836,#837,#489,.T.); -#1044=EDGE_CURVE('',#837,#838,#356,.T.); -#1045=EDGE_CURVE('',#839,#838,#490,.T.); -#1046=EDGE_CURVE('',#839,#840,#357,.T.); -#1047=EDGE_CURVE('',#841,#840,#491,.T.); -#1048=EDGE_CURVE('',#841,#842,#358,.T.); -#1049=EDGE_CURVE('',#843,#842,#492,.T.); -#1050=EDGE_CURVE('',#843,#844,#359,.T.); -#1051=EDGE_CURVE('',#845,#844,#493,.T.); -#1052=EDGE_CURVE('',#845,#837,#360,.T.); -#1053=EDGE_CURVE('',#846,#847,#361,.T.); -#1054=EDGE_CURVE('',#847,#842,#14,.T.); -#1055=EDGE_CURVE('',#841,#846,#15,.T.); -#1056=EDGE_CURVE('',#846,#847,#494,.T.); -#1057=EDGE_CURVE('',#840,#843,#495,.T.); -#1058=EDGE_CURVE('',#844,#839,#496,.T.); -#1059=EDGE_CURVE('',#838,#848,#16,.T.); -#1060=EDGE_CURVE('',#849,#848,#497,.T.); -#1061=EDGE_CURVE('',#849,#845,#63,.T.); -#1062=EDGE_CURVE('',#849,#848,#362,.T.); -#1063=EDGE_CURVE('',#850,#824,#64,.T.); -#1064=EDGE_CURVE('',#851,#850,#498,.T.); -#1065=EDGE_CURVE('',#851,#825,#65,.T.); -#1066=EDGE_CURVE('',#852,#851,#66,.T.); -#1067=EDGE_CURVE('',#853,#852,#499,.T.); -#1068=EDGE_CURVE('',#854,#853,#67,.T.); -#1069=EDGE_CURVE('',#826,#854,#68,.T.); -#1070=EDGE_CURVE('',#854,#855,#500,.T.); -#1071=EDGE_CURVE('',#855,#827,#69,.T.); -#1072=EDGE_CURVE('',#856,#855,#70,.T.); -#1073=EDGE_CURVE('',#743,#856,#501,.T.); -#1074=EDGE_CURVE('',#857,#742,#502,.T.); -#1075=EDGE_CURVE('',#857,#828,#363,.T.); -#1076=EDGE_CURVE('',#857,#858,#503,.T.); -#1077=EDGE_CURVE('',#858,#829,#504,.T.); -#1078=EDGE_CURVE('',#859,#858,#505,.T.); -#1079=EDGE_CURVE('',#740,#730,#506,.T.); -#1080=EDGE_CURVE('',#735,#860,#507,.T.); -#1081=EDGE_CURVE('',#860,#859,#508,.T.); -#1082=EDGE_CURVE('',#830,#859,#509,.T.); -#1083=EDGE_CURVE('',#831,#860,#364,.T.); -#1084=EDGE_CURVE('',#735,#832,#365,.T.); -#1085=EDGE_CURVE('',#833,#734,#366,.T.); -#1086=EDGE_CURVE('',#861,#734,#510,.T.); -#1087=EDGE_CURVE('',#850,#861,#71,.T.); -#1088=EDGE_CURVE('',#862,#861,#511,.T.); -#1089=EDGE_CURVE('',#863,#862,#512,.T.); -#1090=EDGE_CURVE('',#863,#852,#72,.T.); -#1091=EDGE_CURVE('',#864,#863,#367,.T.); -#1092=EDGE_CURVE('',#853,#864,#73,.T.); -#1093=EDGE_CURVE('',#861,#856,#368,.T.); -#1094=EDGE_CURVE('',#856,#865,#513,.T.); -#1095=EDGE_CURVE('',#865,#864,#514,.T.); -#1096=EDGE_CURVE('',#731,#741,#515,.T.); -#1097=EDGE_CURVE('',#739,#733,#516,.T.); -#1098=EDGE_CURVE('',#866,#739,#517,.T.); -#1099=EDGE_CURVE('',#866,#737,#518,.T.); -#1100=EDGE_CURVE('',#732,#738,#519,.T.); -#1101=EDGE_CURVE('',#738,#867,#520,.T.); -#1102=EDGE_CURVE('',#736,#867,#521,.T.); -#1103=EDGE_CURVE('',#867,#866,#522,.T.); -#1104=EDGE_CURVE('',#774,#868,#523,.T.); -#1105=EDGE_CURVE('',#869,#868,#369,.T.); -#1106=EDGE_CURVE('',#869,#791,#524,.T.); -#1107=EDGE_CURVE('',#790,#870,#525,.T.); -#1108=EDGE_CURVE('',#818,#870,#370,.T.); -#1109=EDGE_CURVE('',#870,#871,#526,.T.); -#1110=EDGE_CURVE('',#871,#869,#527,.T.); -#1111=EDGE_CURVE('',#868,#872,#528,.T.); -#1112=EDGE_CURVE('',#872,#873,#529,.T.); -#1113=EDGE_CURVE('',#873,#819,#371,.T.); -#1114=EDGE_CURVE('',#873,#775,#530,.T.); -#1115=EDGE_CURVE('',#874,#776,#531,.T.); -#1116=EDGE_CURVE('',#875,#874,#532,.T.); -#1117=EDGE_CURVE('',#777,#875,#533,.T.); -#1118=EDGE_CURVE('',#780,#777,#534,.T.); -#1119=EDGE_CURVE('',#786,#875,#535,.T.); -#1120=EDGE_CURVE('',#776,#781,#536,.T.); -#1121=EDGE_CURVE('',#874,#787,#537,.T.); -#1122=EDGE_CURVE('',#770,#785,#538,.T.); -#1123=EDGE_CURVE('',#789,#785,#539,.T.); -#1124=EDGE_CURVE('',#789,#876,#540,.T.); -#1125=EDGE_CURVE('',#877,#876,#372,.T.); -#1126=EDGE_CURVE('',#877,#772,#541,.T.); -#1127=EDGE_CURVE('',#878,#877,#74,.T.); -#1128=EDGE_CURVE('',#868,#878,#75,.T.); -#1129=EDGE_CURVE('',#879,#878,#542,.T.); -#1130=EDGE_CURVE('',#879,#873,#76,.T.); -#1131=EDGE_CURVE('',#773,#880,#543,.T.); -#1132=EDGE_CURVE('',#879,#880,#77,.T.); -#1133=EDGE_CURVE('',#784,#771,#544,.T.); -#1134=EDGE_CURVE('',#881,#880,#373,.T.); -#1135=EDGE_CURVE('',#881,#788,#545,.T.); -#1136=EDGE_CURVE('',#784,#788,#546,.T.); -#1137=EDGE_CURVE('',#882,#869,#78,.T.); -#1138=EDGE_CURVE('',#876,#882,#79,.T.); -#1139=EDGE_CURVE('',#876,#883,#547,.T.); -#1140=EDGE_CURVE('',#882,#884,#548,.T.); -#1141=EDGE_CURVE('',#881,#884,#80,.T.); -#1142=EDGE_CURVE('',#883,#881,#549,.T.); -#1143=EDGE_CURVE('',#880,#885,#550,.T.); -#1144=EDGE_CURVE('',#885,#877,#551,.T.); -#1145=EDGE_CURVE('',#870,#884,#81,.T.); -#1146=ORIENTED_EDGE('',*,*,#886,.F.); -#1147=ORIENTED_EDGE('',*,*,#887,.F.); -#1148=ORIENTED_EDGE('',*,*,#888,.T.); -#1149=ORIENTED_EDGE('',*,*,#889,.F.); -#1150=ORIENTED_EDGE('',*,*,#886,.T.); -#1151=ORIENTED_EDGE('',*,*,#890,.F.); -#1152=ORIENTED_EDGE('',*,*,#891,.F.); -#1153=ORIENTED_EDGE('',*,*,#892,.T.); -#1154=ORIENTED_EDGE('',*,*,#888,.F.); -#1155=ORIENTED_EDGE('',*,*,#893,.T.); -#1156=ORIENTED_EDGE('',*,*,#894,.F.); -#1157=ORIENTED_EDGE('',*,*,#895,.F.); -#1158=ORIENTED_EDGE('',*,*,#896,.F.); -#1159=ORIENTED_EDGE('',*,*,#897,.F.); -#1160=ORIENTED_EDGE('',*,*,#898,.T.); -#1161=ORIENTED_EDGE('',*,*,#899,.F.); -#1162=ORIENTED_EDGE('',*,*,#898,.F.); -#1163=ORIENTED_EDGE('',*,*,#900,.T.); -#1164=ORIENTED_EDGE('',*,*,#901,.T.); -#1165=ORIENTED_EDGE('',*,*,#902,.F.); -#1166=ORIENTED_EDGE('',*,*,#901,.F.); -#1167=ORIENTED_EDGE('',*,*,#903,.F.); -#1168=ORIENTED_EDGE('',*,*,#904,.T.); -#1169=ORIENTED_EDGE('',*,*,#905,.F.); -#1170=ORIENTED_EDGE('',*,*,#906,.F.); -#1171=ORIENTED_EDGE('',*,*,#907,.T.); -#1172=ORIENTED_EDGE('',*,*,#908,.T.); -#1173=ORIENTED_EDGE('',*,*,#909,.T.); -#1174=ORIENTED_EDGE('',*,*,#908,.F.); -#1175=ORIENTED_EDGE('',*,*,#910,.T.); -#1176=ORIENTED_EDGE('',*,*,#911,.F.); -#1177=ORIENTED_EDGE('',*,*,#912,.T.); -#1178=ORIENTED_EDGE('',*,*,#906,.T.); -#1179=ORIENTED_EDGE('',*,*,#913,.F.); -#1180=ORIENTED_EDGE('',*,*,#914,.F.); -#1181=ORIENTED_EDGE('',*,*,#915,.F.); -#1182=ORIENTED_EDGE('',*,*,#916,.F.); -#1183=ORIENTED_EDGE('',*,*,#917,.F.); -#1184=ORIENTED_EDGE('',*,*,#918,.T.); -#1185=ORIENTED_EDGE('',*,*,#919,.F.); -#1186=ORIENTED_EDGE('',*,*,#918,.F.); -#1187=ORIENTED_EDGE('',*,*,#920,.F.); -#1188=ORIENTED_EDGE('',*,*,#921,.F.); -#1189=ORIENTED_EDGE('',*,*,#922,.T.); -#1190=ORIENTED_EDGE('',*,*,#923,.F.); -#1191=ORIENTED_EDGE('',*,*,#924,.F.); -#1192=ORIENTED_EDGE('',*,*,#925,.T.); -#1193=ORIENTED_EDGE('',*,*,#926,.F.); -#1194=ORIENTED_EDGE('',*,*,#925,.F.); -#1195=ORIENTED_EDGE('',*,*,#927,.F.); -#1196=ORIENTED_EDGE('',*,*,#928,.T.); -#1197=ORIENTED_EDGE('',*,*,#929,.F.); -#1198=ORIENTED_EDGE('',*,*,#928,.F.); -#1199=ORIENTED_EDGE('',*,*,#930,.F.); -#1200=ORIENTED_EDGE('',*,*,#931,.T.); -#1201=ORIENTED_EDGE('',*,*,#932,.F.); -#1202=ORIENTED_EDGE('',*,*,#931,.F.); -#1203=ORIENTED_EDGE('',*,*,#933,.T.); -#1204=ORIENTED_EDGE('',*,*,#934,.F.); -#1205=ORIENTED_EDGE('',*,*,#935,.T.); -#1206=ORIENTED_EDGE('',*,*,#936,.F.); -#1207=ORIENTED_EDGE('',*,*,#937,.F.); -#1208=ORIENTED_EDGE('',*,*,#938,.T.); -#1209=ORIENTED_EDGE('',*,*,#939,.F.); -#1210=ORIENTED_EDGE('',*,*,#938,.F.); -#1211=ORIENTED_EDGE('',*,*,#940,.F.); -#1212=ORIENTED_EDGE('',*,*,#941,.T.); -#1213=ORIENTED_EDGE('',*,*,#942,.F.); -#1214=ORIENTED_EDGE('',*,*,#936,.T.); -#1215=ORIENTED_EDGE('',*,*,#943,.F.); -#1216=ORIENTED_EDGE('',*,*,#944,.F.); -#1217=ORIENTED_EDGE('',*,*,#945,.F.); -#1218=ORIENTED_EDGE('',*,*,#941,.F.); -#1219=ORIENTED_EDGE('',*,*,#946,.T.); -#1220=ORIENTED_EDGE('',*,*,#947,.F.); -#1221=ORIENTED_EDGE('',*,*,#948,.T.); -#1222=ORIENTED_EDGE('',*,*,#949,.F.); -#1223=ORIENTED_EDGE('',*,*,#950,.T.); -#1224=ORIENTED_EDGE('',*,*,#951,.T.); -#1225=ORIENTED_EDGE('',*,*,#952,.F.); -#1226=ORIENTED_EDGE('',*,*,#949,.T.); -#1227=ORIENTED_EDGE('',*,*,#953,.F.); -#1228=ORIENTED_EDGE('',*,*,#954,.F.); -#1229=ORIENTED_EDGE('',*,*,#955,.F.); -#1230=ORIENTED_EDGE('',*,*,#951,.F.); -#1231=ORIENTED_EDGE('',*,*,#956,.T.); -#1232=ORIENTED_EDGE('',*,*,#957,.F.); -#1233=ORIENTED_EDGE('',*,*,#958,.F.); -#1234=ORIENTED_EDGE('',*,*,#959,.F.); -#1235=ORIENTED_EDGE('',*,*,#960,.F.); -#1236=ORIENTED_EDGE('',*,*,#961,.T.); -#1237=ORIENTED_EDGE('',*,*,#962,.F.); -#1238=ORIENTED_EDGE('',*,*,#961,.F.); -#1239=ORIENTED_EDGE('',*,*,#963,.F.); -#1240=ORIENTED_EDGE('',*,*,#964,.F.); -#1241=ORIENTED_EDGE('',*,*,#965,.T.); -#1242=ORIENTED_EDGE('',*,*,#966,.F.); -#1243=ORIENTED_EDGE('',*,*,#926,.T.); -#1244=ORIENTED_EDGE('',*,*,#967,.F.); -#1245=ORIENTED_EDGE('',*,*,#913,.T.); -#1246=ORIENTED_EDGE('',*,*,#968,.T.); -#1247=ORIENTED_EDGE('',*,*,#966,.T.); -#1248=ORIENTED_EDGE('',*,*,#909,.F.); -#1249=ORIENTED_EDGE('',*,*,#912,.F.); -#1250=ORIENTED_EDGE('',*,*,#969,.F.); -#1251=ORIENTED_EDGE('',*,*,#907,.F.); -#1252=ORIENTED_EDGE('',*,*,#970,.T.); -#1253=ORIENTED_EDGE('',*,*,#971,.T.); -#1254=ORIENTED_EDGE('',*,*,#972,.F.); -#1255=ORIENTED_EDGE('',*,*,#910,.F.); -#1256=ORIENTED_EDGE('',*,*,#972,.T.); -#1257=ORIENTED_EDGE('',*,*,#973,.T.); -#1258=ORIENTED_EDGE('',*,*,#969,.T.); -#1259=ORIENTED_EDGE('',*,*,#911,.T.); -#1260=ORIENTED_EDGE('',*,*,#970,.F.); -#1261=ORIENTED_EDGE('',*,*,#915,.T.); -#1262=ORIENTED_EDGE('',*,*,#974,.F.); -#1263=ORIENTED_EDGE('',*,*,#924,.T.); -#1264=ORIENTED_EDGE('',*,*,#967,.T.); -#1265=ORIENTED_EDGE('',*,*,#929,.T.); -#1266=ORIENTED_EDGE('',*,*,#975,.T.); -#1267=ORIENTED_EDGE('',*,*,#976,.F.); -#1268=ORIENTED_EDGE('',*,*,#977,.T.); -#1269=ORIENTED_EDGE('',*,*,#978,.F.); -#1270=ORIENTED_EDGE('',*,*,#974,.T.); -#1271=ORIENTED_EDGE('',*,*,#979,.F.); -#1272=ORIENTED_EDGE('',*,*,#980,.T.); -#1273=ORIENTED_EDGE('',*,*,#981,.F.); -#1274=ORIENTED_EDGE('',*,*,#982,.T.); -#1275=ORIENTED_EDGE('',*,*,#927,.T.); -#1276=ORIENTED_EDGE('',*,*,#930,.T.); -#1277=ORIENTED_EDGE('',*,*,#982,.F.); -#1278=ORIENTED_EDGE('',*,*,#983,.F.); -#1279=ORIENTED_EDGE('',*,*,#984,.F.); -#1280=ORIENTED_EDGE('',*,*,#985,.F.); -#1281=ORIENTED_EDGE('',*,*,#932,.T.); -#1282=ORIENTED_EDGE('',*,*,#986,.F.); -#1283=ORIENTED_EDGE('',*,*,#987,.F.); -#1284=ORIENTED_EDGE('',*,*,#988,.F.); -#1285=ORIENTED_EDGE('',*,*,#975,.F.); -#1286=ORIENTED_EDGE('',*,*,#989,.F.); -#1287=ORIENTED_EDGE('',*,*,#988,.T.); -#1288=ORIENTED_EDGE('',*,*,#990,.F.); -#1289=ORIENTED_EDGE('',*,*,#983,.T.); -#1290=ORIENTED_EDGE('',*,*,#991,.F.); -#1291=ORIENTED_EDGE('',*,*,#989,.T.); -#1292=ORIENTED_EDGE('',*,*,#991,.T.); -#1293=ORIENTED_EDGE('',*,*,#981,.T.); -#1294=ORIENTED_EDGE('',*,*,#992,.T.); -#1295=ORIENTED_EDGE('',*,*,#993,.T.); -#1296=ORIENTED_EDGE('',*,*,#976,.T.); -#1297=ORIENTED_EDGE('',*,*,#992,.F.); -#1298=ORIENTED_EDGE('',*,*,#994,.T.); -#1299=ORIENTED_EDGE('',*,*,#995,.F.); -#1300=ORIENTED_EDGE('',*,*,#996,.T.); -#1301=ORIENTED_EDGE('',*,*,#993,.F.); -#1302=ORIENTED_EDGE('',*,*,#977,.F.); -#1303=ORIENTED_EDGE('',*,*,#996,.F.); -#1304=ORIENTED_EDGE('',*,*,#997,.F.); -#1305=ORIENTED_EDGE('',*,*,#998,.F.); -#1306=ORIENTED_EDGE('',*,*,#917,.T.); -#1307=ORIENTED_EDGE('',*,*,#980,.F.); -#1308=ORIENTED_EDGE('',*,*,#919,.T.); -#1309=ORIENTED_EDGE('',*,*,#999,.F.); -#1310=ORIENTED_EDGE('',*,*,#1000,.F.); -#1311=ORIENTED_EDGE('',*,*,#994,.F.); -#1312=ORIENTED_EDGE('',*,*,#1001,.F.); -#1313=ORIENTED_EDGE('',*,*,#997,.T.); -#1314=ORIENTED_EDGE('',*,*,#995,.T.); -#1315=ORIENTED_EDGE('',*,*,#1000,.T.); -#1316=ORIENTED_EDGE('',*,*,#1002,.F.); -#1317=ORIENTED_EDGE('',*,*,#1003,.T.); -#1318=ORIENTED_EDGE('',*,*,#1004,.T.); -#1319=ORIENTED_EDGE('',*,*,#1005,.T.); -#1320=ORIENTED_EDGE('',*,*,#1006,.T.); -#1321=ORIENTED_EDGE('',*,*,#1007,.T.); -#1322=ORIENTED_EDGE('',*,*,#1001,.T.); -#1323=ORIENTED_EDGE('',*,*,#1002,.T.); -#1324=ORIENTED_EDGE('',*,*,#1008,.T.); -#1325=ORIENTED_EDGE('',*,*,#1009,.T.); -#1326=ORIENTED_EDGE('',*,*,#1010,.F.); -#1327=ORIENTED_EDGE('',*,*,#1011,.F.); -#1328=ORIENTED_EDGE('',*,*,#1012,.T.); -#1329=ORIENTED_EDGE('',*,*,#1013,.F.); -#1330=ORIENTED_EDGE('',*,*,#934,.T.); -#1331=ORIENTED_EDGE('',*,*,#1014,.F.); -#1332=ORIENTED_EDGE('',*,*,#1003,.F.); -#1333=ORIENTED_EDGE('',*,*,#1015,.F.); -#1334=ORIENTED_EDGE('',*,*,#921,.T.); -#1335=ORIENTED_EDGE('',*,*,#1016,.F.); -#1336=ORIENTED_EDGE('',*,*,#964,.T.); -#1337=ORIENTED_EDGE('',*,*,#1017,.F.); -#1338=ORIENTED_EDGE('',*,*,#1018,.F.); -#1339=ORIENTED_EDGE('',*,*,#1019,.F.); -#1340=ORIENTED_EDGE('',*,*,#947,.T.); -#1341=ORIENTED_EDGE('',*,*,#1020,.T.); -#1342=ORIENTED_EDGE('',*,*,#1021,.F.); -#1343=ORIENTED_EDGE('',*,*,#1009,.F.); -#1344=ORIENTED_EDGE('',*,*,#1022,.F.); -#1345=ORIENTED_EDGE('',*,*,#1020,.F.); -#1346=ORIENTED_EDGE('',*,*,#1023,.F.); -#1347=ORIENTED_EDGE('',*,*,#1024,.F.); -#1348=ORIENTED_EDGE('',*,*,#1025,.F.); -#1349=ORIENTED_EDGE('',*,*,#1026,.T.); -#1350=ORIENTED_EDGE('',*,*,#1027,.T.); -#1351=ORIENTED_EDGE('',*,*,#1028,.T.); -#1352=ORIENTED_EDGE('',*,*,#1029,.T.); -#1353=ORIENTED_EDGE('',*,*,#904,.F.); -#1354=ORIENTED_EDGE('',*,*,#1030,.T.); -#1355=ORIENTED_EDGE('',*,*,#1031,.F.); -#1356=ORIENTED_EDGE('',*,*,#1032,.F.); -#1357=ORIENTED_EDGE('',*,*,#1033,.F.); -#1358=ORIENTED_EDGE('',*,*,#1034,.T.); -#1359=ORIENTED_EDGE('',*,*,#1035,.F.); -#1360=ORIENTED_EDGE('',*,*,#1036,.T.); -#1361=ORIENTED_EDGE('',*,*,#1025,.T.); -#1362=ORIENTED_EDGE('',*,*,#1037,.T.); -#1363=ORIENTED_EDGE('',*,*,#1010,.T.); -#1364=ORIENTED_EDGE('',*,*,#1021,.T.); -#1365=ORIENTED_EDGE('',*,*,#1011,.T.); -#1366=ORIENTED_EDGE('',*,*,#1037,.F.); -#1367=ORIENTED_EDGE('',*,*,#1024,.T.); -#1368=ORIENTED_EDGE('',*,*,#1038,.T.); -#1369=ORIENTED_EDGE('',*,*,#1039,.F.); -#1370=ORIENTED_EDGE('',*,*,#1012,.F.); -#1371=ORIENTED_EDGE('',*,*,#1038,.F.); -#1372=ORIENTED_EDGE('',*,*,#1023,.T.); -#1373=ORIENTED_EDGE('',*,*,#1022,.T.); -#1374=ORIENTED_EDGE('',*,*,#1039,.T.); -#1375=ORIENTED_EDGE('',*,*,#1040,.T.); -#1376=ORIENTED_EDGE('',*,*,#1041,.T.); -#1377=ORIENTED_EDGE('',*,*,#1040,.F.); -#1378=ORIENTED_EDGE('',*,*,#1041,.F.); -#1379=ORIENTED_EDGE('',*,*,#1042,.F.); -#1380=ORIENTED_EDGE('',*,*,#1042,.T.); -#1381=ORIENTED_EDGE('',*,*,#1043,.T.); -#1382=ORIENTED_EDGE('',*,*,#1044,.T.); -#1383=ORIENTED_EDGE('',*,*,#1045,.F.); -#1384=ORIENTED_EDGE('',*,*,#1046,.T.); -#1385=ORIENTED_EDGE('',*,*,#1047,.F.); -#1386=ORIENTED_EDGE('',*,*,#1048,.T.); -#1387=ORIENTED_EDGE('',*,*,#1049,.F.); -#1388=ORIENTED_EDGE('',*,*,#1050,.T.); -#1389=ORIENTED_EDGE('',*,*,#1051,.F.); -#1390=ORIENTED_EDGE('',*,*,#1052,.T.); -#1391=ORIENTED_EDGE('',*,*,#1043,.F.); -#1392=ORIENTED_EDGE('',*,*,#1053,.T.); -#1393=ORIENTED_EDGE('',*,*,#1054,.T.); -#1394=ORIENTED_EDGE('',*,*,#1048,.F.); -#1395=ORIENTED_EDGE('',*,*,#1055,.T.); -#1396=ORIENTED_EDGE('',*,*,#1056,.T.); -#1397=ORIENTED_EDGE('',*,*,#1053,.F.); -#1398=ORIENTED_EDGE('',*,*,#1049,.T.); -#1399=ORIENTED_EDGE('',*,*,#1054,.F.); -#1400=ORIENTED_EDGE('',*,*,#1056,.F.); -#1401=ORIENTED_EDGE('',*,*,#1055,.F.); -#1402=ORIENTED_EDGE('',*,*,#1047,.T.); -#1403=ORIENTED_EDGE('',*,*,#1057,.T.); -#1404=ORIENTED_EDGE('',*,*,#1050,.F.); -#1405=ORIENTED_EDGE('',*,*,#1057,.F.); -#1406=ORIENTED_EDGE('',*,*,#1046,.F.); -#1407=ORIENTED_EDGE('',*,*,#1058,.F.); -#1408=ORIENTED_EDGE('',*,*,#1051,.T.); -#1409=ORIENTED_EDGE('',*,*,#1058,.T.); -#1410=ORIENTED_EDGE('',*,*,#1045,.T.); -#1411=ORIENTED_EDGE('',*,*,#1059,.T.); -#1412=ORIENTED_EDGE('',*,*,#1060,.F.); -#1413=ORIENTED_EDGE('',*,*,#1061,.T.); -#1414=ORIENTED_EDGE('',*,*,#1052,.F.); -#1415=ORIENTED_EDGE('',*,*,#1061,.F.); -#1416=ORIENTED_EDGE('',*,*,#1062,.T.); -#1417=ORIENTED_EDGE('',*,*,#1059,.F.); -#1418=ORIENTED_EDGE('',*,*,#1044,.F.); -#1419=ORIENTED_EDGE('',*,*,#1060,.T.); -#1420=ORIENTED_EDGE('',*,*,#1062,.F.); -#1421=ORIENTED_EDGE('',*,*,#1026,.F.); -#1422=ORIENTED_EDGE('',*,*,#1063,.F.); -#1423=ORIENTED_EDGE('',*,*,#1064,.F.); -#1424=ORIENTED_EDGE('',*,*,#1065,.T.); -#1425=ORIENTED_EDGE('',*,*,#1065,.F.); -#1426=ORIENTED_EDGE('',*,*,#1066,.F.); -#1427=ORIENTED_EDGE('',*,*,#1067,.F.); -#1428=ORIENTED_EDGE('',*,*,#1068,.F.); -#1429=ORIENTED_EDGE('',*,*,#1069,.F.); -#1430=ORIENTED_EDGE('',*,*,#1027,.F.); -#1431=ORIENTED_EDGE('',*,*,#1028,.F.); -#1432=ORIENTED_EDGE('',*,*,#1069,.T.); -#1433=ORIENTED_EDGE('',*,*,#1070,.T.); -#1434=ORIENTED_EDGE('',*,*,#1071,.T.); -#1435=ORIENTED_EDGE('',*,*,#1029,.F.); -#1436=ORIENTED_EDGE('',*,*,#1071,.F.); -#1437=ORIENTED_EDGE('',*,*,#1072,.F.); -#1438=ORIENTED_EDGE('',*,*,#1073,.F.); -#1439=ORIENTED_EDGE('',*,*,#905,.T.); -#1440=ORIENTED_EDGE('',*,*,#1030,.F.); -#1441=ORIENTED_EDGE('',*,*,#903,.T.); -#1442=ORIENTED_EDGE('',*,*,#1074,.F.); -#1443=ORIENTED_EDGE('',*,*,#1075,.T.); -#1444=ORIENTED_EDGE('',*,*,#1076,.T.); -#1445=ORIENTED_EDGE('',*,*,#1077,.T.); -#1446=ORIENTED_EDGE('',*,*,#1031,.T.); -#1447=ORIENTED_EDGE('',*,*,#1075,.F.); -#1448=ORIENTED_EDGE('',*,*,#1078,.T.); -#1449=ORIENTED_EDGE('',*,*,#1076,.F.); -#1450=ORIENTED_EDGE('',*,*,#1074,.T.); -#1451=ORIENTED_EDGE('',*,*,#900,.F.); -#1452=ORIENTED_EDGE('',*,*,#1079,.T.); -#1453=ORIENTED_EDGE('',*,*,#892,.F.); -#1454=ORIENTED_EDGE('',*,*,#1080,.T.); -#1455=ORIENTED_EDGE('',*,*,#1081,.T.); -#1456=ORIENTED_EDGE('',*,*,#1077,.F.); -#1457=ORIENTED_EDGE('',*,*,#1078,.F.); -#1458=ORIENTED_EDGE('',*,*,#1082,.F.); -#1459=ORIENTED_EDGE('',*,*,#1032,.T.); -#1460=ORIENTED_EDGE('',*,*,#1081,.F.); -#1461=ORIENTED_EDGE('',*,*,#1083,.F.); -#1462=ORIENTED_EDGE('',*,*,#1033,.T.); -#1463=ORIENTED_EDGE('',*,*,#1082,.T.); -#1464=ORIENTED_EDGE('',*,*,#1034,.F.); -#1465=ORIENTED_EDGE('',*,*,#1083,.T.); -#1466=ORIENTED_EDGE('',*,*,#1080,.F.); -#1467=ORIENTED_EDGE('',*,*,#1084,.T.); -#1468=ORIENTED_EDGE('',*,*,#1036,.F.); -#1469=ORIENTED_EDGE('',*,*,#1085,.T.); -#1470=ORIENTED_EDGE('',*,*,#1086,.F.); -#1471=ORIENTED_EDGE('',*,*,#1087,.F.); -#1472=ORIENTED_EDGE('',*,*,#1063,.T.); -#1473=ORIENTED_EDGE('',*,*,#1066,.T.); -#1474=ORIENTED_EDGE('',*,*,#1064,.T.); -#1475=ORIENTED_EDGE('',*,*,#1087,.T.); -#1476=ORIENTED_EDGE('',*,*,#1088,.F.); -#1477=ORIENTED_EDGE('',*,*,#1089,.F.); -#1478=ORIENTED_EDGE('',*,*,#1090,.T.); -#1479=ORIENTED_EDGE('',*,*,#1091,.F.); -#1480=ORIENTED_EDGE('',*,*,#1092,.F.); -#1481=ORIENTED_EDGE('',*,*,#1067,.T.); -#1482=ORIENTED_EDGE('',*,*,#1090,.F.); -#1483=ORIENTED_EDGE('',*,*,#1091,.T.); -#1484=ORIENTED_EDGE('',*,*,#1089,.T.); -#1485=ORIENTED_EDGE('',*,*,#1088,.T.); -#1486=ORIENTED_EDGE('',*,*,#1093,.T.); -#1487=ORIENTED_EDGE('',*,*,#1094,.T.); -#1488=ORIENTED_EDGE('',*,*,#1095,.T.); -#1489=ORIENTED_EDGE('',*,*,#1068,.T.); -#1490=ORIENTED_EDGE('',*,*,#1092,.T.); -#1491=ORIENTED_EDGE('',*,*,#1095,.F.); -#1492=ORIENTED_EDGE('',*,*,#1094,.F.); -#1493=ORIENTED_EDGE('',*,*,#1072,.T.); -#1494=ORIENTED_EDGE('',*,*,#1070,.F.); -#1495=ORIENTED_EDGE('',*,*,#1096,.T.); -#1496=ORIENTED_EDGE('',*,*,#902,.T.); -#1497=ORIENTED_EDGE('',*,*,#1073,.T.); -#1498=ORIENTED_EDGE('',*,*,#1093,.F.); -#1499=ORIENTED_EDGE('',*,*,#1086,.T.); -#1500=ORIENTED_EDGE('',*,*,#890,.T.); -#1501=ORIENTED_EDGE('',*,*,#1097,.F.); -#1502=ORIENTED_EDGE('',*,*,#899,.T.); -#1503=ORIENTED_EDGE('',*,*,#1096,.F.); -#1504=ORIENTED_EDGE('',*,*,#889,.T.); -#1505=ORIENTED_EDGE('',*,*,#1098,.T.); -#1506=ORIENTED_EDGE('',*,*,#1097,.T.); -#1507=ORIENTED_EDGE('',*,*,#895,.T.); -#1508=ORIENTED_EDGE('',*,*,#1099,.F.); -#1509=ORIENTED_EDGE('',*,*,#893,.F.); -#1510=ORIENTED_EDGE('',*,*,#1100,.T.); -#1511=ORIENTED_EDGE('',*,*,#1101,.T.); -#1512=ORIENTED_EDGE('',*,*,#1102,.F.); -#1513=ORIENTED_EDGE('',*,*,#1102,.T.); -#1514=ORIENTED_EDGE('',*,*,#1103,.T.); -#1515=ORIENTED_EDGE('',*,*,#1099,.T.); -#1516=ORIENTED_EDGE('',*,*,#894,.T.); -#1517=ORIENTED_EDGE('',*,*,#1100,.F.); -#1518=ORIENTED_EDGE('',*,*,#887,.T.); -#1519=ORIENTED_EDGE('',*,*,#1079,.F.); -#1520=ORIENTED_EDGE('',*,*,#897,.T.); -#1521=ORIENTED_EDGE('',*,*,#896,.T.); -#1522=ORIENTED_EDGE('',*,*,#1098,.F.); -#1523=ORIENTED_EDGE('',*,*,#1103,.F.); -#1524=ORIENTED_EDGE('',*,*,#1101,.F.); -#1525=ORIENTED_EDGE('',*,*,#891,.T.); -#1526=ORIENTED_EDGE('',*,*,#1085,.F.); -#1527=ORIENTED_EDGE('',*,*,#1035,.T.); -#1528=ORIENTED_EDGE('',*,*,#1084,.F.); -#1529=ORIENTED_EDGE('',*,*,#1013,.T.); -#1530=ORIENTED_EDGE('',*,*,#920,.T.); -#1531=ORIENTED_EDGE('',*,*,#998,.T.); -#1532=ORIENTED_EDGE('',*,*,#1007,.F.); -#1533=ORIENTED_EDGE('',*,*,#986,.T.); -#1534=ORIENTED_EDGE('',*,*,#935,.F.); -#1535=ORIENTED_EDGE('',*,*,#923,.T.); -#1536=ORIENTED_EDGE('',*,*,#968,.F.); -#1537=ORIENTED_EDGE('',*,*,#973,.F.); -#1538=ORIENTED_EDGE('',*,*,#971,.F.); -#1539=ORIENTED_EDGE('',*,*,#1014,.T.); -#1540=ORIENTED_EDGE('',*,*,#933,.F.); -#1541=ORIENTED_EDGE('',*,*,#985,.T.); -#1542=ORIENTED_EDGE('',*,*,#1004,.F.); -#1543=ORIENTED_EDGE('',*,*,#914,.T.); -#1544=ORIENTED_EDGE('',*,*,#978,.T.); -#1545=ORIENTED_EDGE('',*,*,#916,.T.); -#1546=ORIENTED_EDGE('',*,*,#979,.T.); -#1547=ORIENTED_EDGE('',*,*,#1015,.T.); -#1548=ORIENTED_EDGE('',*,*,#1008,.F.); -#1549=ORIENTED_EDGE('',*,*,#999,.T.); -#1550=ORIENTED_EDGE('',*,*,#922,.F.); -#1551=ORIENTED_EDGE('',*,*,#1016,.T.); -#1552=ORIENTED_EDGE('',*,*,#946,.F.); -#1553=ORIENTED_EDGE('',*,*,#1104,.T.); -#1554=ORIENTED_EDGE('',*,*,#1105,.F.); -#1555=ORIENTED_EDGE('',*,*,#1106,.T.); -#1556=ORIENTED_EDGE('',*,*,#965,.F.); -#1557=ORIENTED_EDGE('',*,*,#1017,.T.); -#1558=ORIENTED_EDGE('',*,*,#963,.T.); -#1559=ORIENTED_EDGE('',*,*,#1107,.T.); -#1560=ORIENTED_EDGE('',*,*,#1108,.F.); -#1561=ORIENTED_EDGE('',*,*,#1018,.T.); -#1562=ORIENTED_EDGE('',*,*,#1108,.T.); -#1563=ORIENTED_EDGE('',*,*,#1109,.T.); -#1564=ORIENTED_EDGE('',*,*,#1110,.T.); -#1565=ORIENTED_EDGE('',*,*,#1105,.T.); -#1566=ORIENTED_EDGE('',*,*,#1111,.T.); -#1567=ORIENTED_EDGE('',*,*,#1112,.T.); -#1568=ORIENTED_EDGE('',*,*,#1113,.T.); -#1569=ORIENTED_EDGE('',*,*,#1019,.T.); -#1570=ORIENTED_EDGE('',*,*,#1113,.F.); -#1571=ORIENTED_EDGE('',*,*,#1114,.T.); -#1572=ORIENTED_EDGE('',*,*,#948,.F.); -#1573=ORIENTED_EDGE('',*,*,#944,.T.); -#1574=ORIENTED_EDGE('',*,*,#1115,.F.); -#1575=ORIENTED_EDGE('',*,*,#1116,.F.); -#1576=ORIENTED_EDGE('',*,*,#1117,.F.); -#1577=ORIENTED_EDGE('',*,*,#950,.F.); -#1578=ORIENTED_EDGE('',*,*,#1118,.T.); -#1579=ORIENTED_EDGE('',*,*,#1117,.T.); -#1580=ORIENTED_EDGE('',*,*,#1119,.F.); -#1581=ORIENTED_EDGE('',*,*,#956,.F.); -#1582=ORIENTED_EDGE('',*,*,#1115,.T.); -#1583=ORIENTED_EDGE('',*,*,#1120,.T.); -#1584=ORIENTED_EDGE('',*,*,#952,.T.); -#1585=ORIENTED_EDGE('',*,*,#958,.T.); -#1586=ORIENTED_EDGE('',*,*,#1121,.F.); -#1587=ORIENTED_EDGE('',*,*,#1121,.T.); -#1588=ORIENTED_EDGE('',*,*,#957,.T.); -#1589=ORIENTED_EDGE('',*,*,#1119,.T.); -#1590=ORIENTED_EDGE('',*,*,#1116,.T.); -#1591=ORIENTED_EDGE('',*,*,#1118,.F.); -#1592=ORIENTED_EDGE('',*,*,#955,.T.); -#1593=ORIENTED_EDGE('',*,*,#1122,.F.); -#1594=ORIENTED_EDGE('',*,*,#945,.T.); -#1595=ORIENTED_EDGE('',*,*,#1122,.T.); -#1596=ORIENTED_EDGE('',*,*,#1123,.F.); -#1597=ORIENTED_EDGE('',*,*,#1124,.T.); -#1598=ORIENTED_EDGE('',*,*,#1125,.F.); -#1599=ORIENTED_EDGE('',*,*,#1126,.T.); -#1600=ORIENTED_EDGE('',*,*,#937,.T.); -#1601=ORIENTED_EDGE('',*,*,#1126,.F.); -#1602=ORIENTED_EDGE('',*,*,#1127,.F.); -#1603=ORIENTED_EDGE('',*,*,#1128,.F.); -#1604=ORIENTED_EDGE('',*,*,#1104,.F.); -#1605=ORIENTED_EDGE('',*,*,#940,.T.); -#1606=ORIENTED_EDGE('',*,*,#1111,.F.); -#1607=ORIENTED_EDGE('',*,*,#1128,.T.); -#1608=ORIENTED_EDGE('',*,*,#1129,.F.); -#1609=ORIENTED_EDGE('',*,*,#1130,.T.); -#1610=ORIENTED_EDGE('',*,*,#1112,.F.); -#1611=ORIENTED_EDGE('',*,*,#1131,.F.); -#1612=ORIENTED_EDGE('',*,*,#942,.T.); -#1613=ORIENTED_EDGE('',*,*,#1114,.F.); -#1614=ORIENTED_EDGE('',*,*,#1130,.F.); -#1615=ORIENTED_EDGE('',*,*,#1132,.T.); -#1616=ORIENTED_EDGE('',*,*,#1133,.T.); -#1617=ORIENTED_EDGE('',*,*,#939,.T.); -#1618=ORIENTED_EDGE('',*,*,#1131,.T.); -#1619=ORIENTED_EDGE('',*,*,#1134,.F.); -#1620=ORIENTED_EDGE('',*,*,#1135,.T.); -#1621=ORIENTED_EDGE('',*,*,#1136,.F.); -#1622=ORIENTED_EDGE('',*,*,#1120,.F.); -#1623=ORIENTED_EDGE('',*,*,#943,.T.); -#1624=ORIENTED_EDGE('',*,*,#1133,.F.); -#1625=ORIENTED_EDGE('',*,*,#953,.T.); -#1626=ORIENTED_EDGE('',*,*,#954,.T.); -#1627=ORIENTED_EDGE('',*,*,#1136,.T.); -#1628=ORIENTED_EDGE('',*,*,#959,.T.); -#1629=ORIENTED_EDGE('',*,*,#1123,.T.); -#1630=ORIENTED_EDGE('',*,*,#962,.T.); -#1631=ORIENTED_EDGE('',*,*,#1106,.F.); -#1632=ORIENTED_EDGE('',*,*,#1137,.F.); -#1633=ORIENTED_EDGE('',*,*,#1138,.F.); -#1634=ORIENTED_EDGE('',*,*,#1124,.F.); -#1635=ORIENTED_EDGE('',*,*,#1139,.F.); -#1636=ORIENTED_EDGE('',*,*,#1138,.T.); -#1637=ORIENTED_EDGE('',*,*,#1140,.T.); -#1638=ORIENTED_EDGE('',*,*,#1141,.F.); -#1639=ORIENTED_EDGE('',*,*,#1142,.F.); -#1640=ORIENTED_EDGE('',*,*,#1139,.T.); -#1641=ORIENTED_EDGE('',*,*,#1142,.T.); -#1642=ORIENTED_EDGE('',*,*,#1134,.T.); -#1643=ORIENTED_EDGE('',*,*,#1143,.T.); -#1644=ORIENTED_EDGE('',*,*,#1144,.T.); -#1645=ORIENTED_EDGE('',*,*,#1125,.T.); -#1646=ORIENTED_EDGE('',*,*,#1143,.F.); -#1647=ORIENTED_EDGE('',*,*,#1132,.F.); -#1648=ORIENTED_EDGE('',*,*,#1129,.T.); -#1649=ORIENTED_EDGE('',*,*,#1127,.T.); -#1650=ORIENTED_EDGE('',*,*,#1144,.F.); -#1651=ORIENTED_EDGE('',*,*,#960,.T.); -#1652=ORIENTED_EDGE('',*,*,#1135,.F.); -#1653=ORIENTED_EDGE('',*,*,#1141,.T.); -#1654=ORIENTED_EDGE('',*,*,#1145,.F.); -#1655=ORIENTED_EDGE('',*,*,#1107,.F.); -#1656=ORIENTED_EDGE('',*,*,#1109,.F.); -#1657=ORIENTED_EDGE('',*,*,#1145,.T.); -#1658=ORIENTED_EDGE('',*,*,#1140,.F.); -#1659=ORIENTED_EDGE('',*,*,#1137,.T.); -#1660=ORIENTED_EDGE('',*,*,#1110,.F.); -#1661=ORIENTED_EDGE('',*,*,#1005,.F.); -#1662=ORIENTED_EDGE('',*,*,#984,.T.); -#1663=ORIENTED_EDGE('',*,*,#990,.T.); -#1664=ORIENTED_EDGE('',*,*,#987,.T.); -#1665=ORIENTED_EDGE('',*,*,#1006,.F.); -#1666=PLANE('',#1861); -#1667=PLANE('',#1864); -#1668=PLANE('',#1865); -#1669=PLANE('',#1866); -#1670=PLANE('',#1869); -#1671=PLANE('',#1870); -#1672=PLANE('',#1873); -#1673=PLANE('',#1874); -#1674=PLANE('',#1875); -#1675=PLANE('',#1878); -#1676=PLANE('',#1881); -#1677=PLANE('',#1882); -#1678=PLANE('',#1885); -#1679=PLANE('',#1886); -#1680=PLANE('',#1889); -#1681=PLANE('',#1890); -#1682=PLANE('',#1891); -#1683=PLANE('',#1894); -#1684=PLANE('',#1897); -#1685=PLANE('',#1898); -#1686=PLANE('',#1899); -#1687=PLANE('',#1902); -#1688=PLANE('',#1903); -#1689=PLANE('',#1906); -#1690=PLANE('',#1908); -#1691=PLANE('',#1909); -#1692=PLANE('',#1910); -#1693=PLANE('',#1912); -#1694=PLANE('',#1914); -#1695=PLANE('',#1926); -#1696=PLANE('',#1928); -#1697=PLANE('',#1929); -#1698=PLANE('',#1930); -#1699=PLANE('',#1931); -#1700=PLANE('',#1933); -#1701=PLANE('',#1936); -#1702=PLANE('',#1946); -#1703=PLANE('',#1947); -#1704=PLANE('',#1948); -#1705=PLANE('',#1949); -#1706=PLANE('',#1952); -#1707=PLANE('',#1953); -#1708=PLANE('',#1957); -#1709=PLANE('',#1958); -#1710=PLANE('',#1959); -#1711=PLANE('',#1960); -#1712=PLANE('',#1966); -#1713=PLANE('',#1970); -#1714=PLANE('',#1972); -#1715=PLANE('',#1973); -#1716=PLANE('',#1974); -#1717=PLANE('',#1976); -#1718=PLANE('',#1977); -#1719=PLANE('',#1978); -#1720=PLANE('',#1979); -#1721=PLANE('',#1980); -#1722=PLANE('',#1981); -#1723=PLANE('',#1982); -#1724=PLANE('',#1983); -#1725=PLANE('',#1985); -#1726=PLANE('',#1989); -#1727=PLANE('',#1990); -#1728=PLANE('',#1991); -#1729=PLANE('',#1992); -#1730=PLANE('',#1993); -#1731=PLANE('',#1995); -#1732=PLANE('',#1999); -#1733=PLANE('',#2002); -#1734=ADVANCED_FACE('',(#107),#1666,.F.); -#1735=ADVANCED_FACE('',(#108),#1667,.F.); -#1736=ADVANCED_FACE('',(#109),#1668,.F.); -#1737=ADVANCED_FACE('',(#110),#1669,.T.); -#1738=ADVANCED_FACE('',(#111),#1670,.T.); -#1739=ADVANCED_FACE('',(#112),#1671,.T.); -#1740=ADVANCED_FACE('',(#113),#1672,.T.); -#1741=ADVANCED_FACE('',(#114),#1673,.T.); -#1742=ADVANCED_FACE('',(#115),#1674,.T.); -#1743=ADVANCED_FACE('',(#116),#1675,.T.); -#1744=ADVANCED_FACE('',(#117),#1676,.T.); -#1745=ADVANCED_FACE('',(#118),#1677,.F.); -#1746=ADVANCED_FACE('',(#119),#1678,.F.); -#1747=ADVANCED_FACE('',(#120),#1679,.F.); -#1748=ADVANCED_FACE('',(#121),#1680,.F.); -#1749=ADVANCED_FACE('',(#122),#1681,.T.); -#1750=ADVANCED_FACE('',(#123),#1682,.T.); -#1751=ADVANCED_FACE('',(#124),#1683,.T.); -#1752=ADVANCED_FACE('',(#125),#1684,.T.); -#1753=ADVANCED_FACE('',(#126),#1685,.F.); -#1754=ADVANCED_FACE('',(#127),#1686,.F.); -#1755=ADVANCED_FACE('',(#128),#1687,.F.); -#1756=ADVANCED_FACE('',(#129),#1688,.F.); -#1757=ADVANCED_FACE('',(#130),#1689,.F.); -#1758=ADVANCED_FACE('',(#131),#82,.T.); -#1759=ADVANCED_FACE('',(#132),#1690,.T.); -#1760=ADVANCED_FACE('',(#133),#1691,.F.); -#1761=ADVANCED_FACE('',(#134),#1692,.F.); -#1762=ADVANCED_FACE('',(#135),#83,.F.); -#1763=ADVANCED_FACE('',(#136),#1693,.T.); -#1764=ADVANCED_FACE('',(#137),#1694,.F.); -#1765=ADVANCED_FACE('',(#138),#84,.F.); -#1766=ADVANCED_FACE('',(#139),#85,.T.); -#1767=ADVANCED_FACE('',(#140),#41,.F.); -#1768=ADVANCED_FACE('',(#141),#86,.F.); -#1769=ADVANCED_FACE('',(#142),#42,.F.); -#1770=ADVANCED_FACE('',(#143),#87,.T.); -#1771=ADVANCED_FACE('',(#144),#88,.F.); -#1772=ADVANCED_FACE('',(#145),#43,.F.); -#1773=ADVANCED_FACE('',(#146),#89,.F.); -#1774=ADVANCED_FACE('',(#147,#19,#20),#1695,.T.); -#1775=ADVANCED_FACE('',(#148),#1696,.T.); -#1776=ADVANCED_FACE('',(#149,#21),#1697,.F.); -#1777=ADVANCED_FACE('',(#150),#1698,.F.); -#1778=ADVANCED_FACE('',(#151,#22),#1699,.F.); -#1779=ADVANCED_FACE('',(#152),#1700,.T.); -#1780=ADVANCED_FACE('',(#153),#90,.F.); -#1781=ADVANCED_FACE('',(#154,#23),#1701,.T.); -#1782=ADVANCED_FACE('',(#155),#91,.T.); -#1783=ADVANCED_FACE('',(#156),#17,.T.); -#1784=ADVANCED_FACE('',(#157),#1702,.F.); -#1785=ADVANCED_FACE('',(#158),#1703,.F.); -#1786=ADVANCED_FACE('',(#159),#1704,.F.); -#1787=ADVANCED_FACE('',(#160),#1705,.T.); -#1788=ADVANCED_FACE('',(#161),#18,.T.); -#1789=ADVANCED_FACE('',(#162),#1706,.F.); -#1790=ADVANCED_FACE('',(#163),#44,.F.); -#1791=ADVANCED_FACE('',(#164),#1707,.F.); -#1792=ADVANCED_FACE('',(#165),#45,.F.); -#1793=ADVANCED_FACE('',(#166),#92,.T.); -#1794=ADVANCED_FACE('',(#167),#93,.F.); -#1795=ADVANCED_FACE('',(#168),#1708,.T.); -#1796=ADVANCED_FACE('',(#169),#1709,.T.); -#1797=ADVANCED_FACE('',(#170),#1710,.T.); -#1798=ADVANCED_FACE('',(#171),#1711,.F.); -#1799=ADVANCED_FACE('',(#172),#94,.F.); -#1800=ADVANCED_FACE('',(#173),#95,.T.); -#1801=ADVANCED_FACE('',(#174),#46,.F.); -#1802=ADVANCED_FACE('',(#175),#1712,.F.); -#1803=ADVANCED_FACE('',(#176),#96,.F.); -#1804=ADVANCED_FACE('',(#177),#47,.F.); -#1805=ADVANCED_FACE('',(#178),#1713,.F.); -#1806=ADVANCED_FACE('',(#179),#97,.T.); -#1807=ADVANCED_FACE('',(#180),#1714,.T.); -#1808=ADVANCED_FACE('',(#181),#1715,.F.); -#1809=ADVANCED_FACE('',(#182),#1716,.T.); -#1810=ADVANCED_FACE('',(#183),#98,.F.); -#1811=ADVANCED_FACE('',(#184),#1717,.T.); -#1812=ADVANCED_FACE('',(#185),#1718,.F.); -#1813=ADVANCED_FACE('',(#186),#1719,.F.); -#1814=ADVANCED_FACE('',(#187),#1720,.F.); -#1815=ADVANCED_FACE('',(#188),#1721,.T.); -#1816=ADVANCED_FACE('',(#189),#1722,.T.); -#1817=ADVANCED_FACE('',(#190),#1723,.T.); -#1818=ADVANCED_FACE('',(#191),#1724,.F.); -#1819=ADVANCED_FACE('',(#192),#1725,.T.); -#1820=ADVANCED_FACE('',(#193),#99,.F.); -#1821=ADVANCED_FACE('',(#194),#1726,.T.); -#1822=ADVANCED_FACE('',(#195),#1727,.T.); -#1823=ADVANCED_FACE('',(#196),#1728,.T.); -#1824=ADVANCED_FACE('',(#197),#1729,.F.); -#1825=ADVANCED_FACE('',(#198),#1730,.F.); -#1826=ADVANCED_FACE('',(#199),#100,.T.); -#1827=ADVANCED_FACE('',(#200),#1731,.T.); -#1828=ADVANCED_FACE('',(#201),#101,.T.); -#1829=ADVANCED_FACE('',(#202),#48,.F.); -#1830=ADVANCED_FACE('',(#203),#102,.F.); -#1831=ADVANCED_FACE('',(#204),#1732,.F.); -#1832=ADVANCED_FACE('',(#205),#103,.F.); -#1833=ADVANCED_FACE('',(#206),#1733,.F.); -#1834=ADVANCED_FACE('',(#207),#104,.T.); -#1835=ADVANCED_FACE('',(#208),#49,.F.); -#1836=ADVANCED_FACE('',(#209),#105,.F.); -#1837=ADVANCED_FACE('',(#210),#50,.F.); -#1838=ADVANCED_FACE('',(#211),#106,.F.); -#1839=ADVANCED_FACE('',(#212),#51,.F.); -#1840=ADVANCED_FACE('',(#213),#52,.F.); -#1841=CLOSED_SHELL('',(#1734,#1735,#1736,#1737,#1738,#1739,#1740,#1741, -#1742,#1743,#1744,#1745,#1746,#1747,#1748,#1749,#1750,#1751,#1752,#1753, -#1754,#1755,#1756,#1757,#1758,#1759,#1760,#1761,#1762,#1763,#1764,#1765, -#1766,#1767,#1768,#1769,#1770,#1771,#1772,#1773,#1774,#1775,#1776,#1777, -#1778,#1779,#1780,#1781,#1782,#1783,#1784,#1785,#1786,#1787,#1788,#1789, -#1790,#1791,#1792,#1793,#1794,#1795,#1796,#1797,#1798,#1799,#1800,#1801, -#1802,#1803,#1804,#1805,#1806,#1807,#1808,#1809,#1810,#1811,#1812,#1813, -#1814,#1815,#1816,#1817,#1818,#1819,#1820,#1821,#1822,#1823,#1824,#1825, -#1826,#1827,#1828,#1829,#1830,#1831,#1832,#1833,#1834,#1835,#1836,#1837, -#1838,#1839,#1840)); -#1842=DERIVED_UNIT_ELEMENT(#1844,1.); -#1843=DERIVED_UNIT_ELEMENT(#3567,3.); -#1844=( -MASS_UNIT() -NAMED_UNIT(*) -SI_UNIT($,.GRAM.) -); -#1845=DERIVED_UNIT((#1842,#1843)); -#1846=MEASURE_REPRESENTATION_ITEM('density measure', -POSITIVE_RATIO_MEASURE(1.),#1845); -#1847=PROPERTY_DEFINITION_REPRESENTATION(#1852,#1849); -#1848=PROPERTY_DEFINITION_REPRESENTATION(#1853,#1850); -#1849=REPRESENTATION('material name',(#1851),#3564); -#1850=REPRESENTATION('density',(#1846),#3564); -#1851=DESCRIPTIVE_REPRESENTATION_ITEM('Generic','Generic'); -#1852=PROPERTY_DEFINITION('material property','material name',#3574); -#1853=PROPERTY_DEFINITION('material property','density of part',#3574); -#1854=DATE_TIME_ROLE('creation_date'); -#1855=APPLIED_DATE_AND_TIME_ASSIGNMENT(#1856,#1854,(#3574)); -#1856=DATE_AND_TIME(#1857,#1858); -#1857=CALENDAR_DATE(2013,1,8); -#1858=LOCAL_TIME(15,17,53.,#1859); -#1859=COORDINATED_UNIVERSAL_TIME_OFFSET(0,0,.BEHIND.); -#1860=AXIS2_PLACEMENT_3D('placement',#2476,#2006,#2007); -#1861=AXIS2_PLACEMENT_3D('',#2477,#2008,#2009); -#1862=AXIS2_PLACEMENT_3D('',#2482,#2011,#2012); -#1863=AXIS2_PLACEMENT_3D('',#2485,#2014,#2015); -#1864=AXIS2_PLACEMENT_3D('',#2486,#2016,#2017); -#1865=AXIS2_PLACEMENT_3D('',#2492,#2021,#2022); -#1866=AXIS2_PLACEMENT_3D('',#2498,#2026,#2027); -#1867=AXIS2_PLACEMENT_3D('',#2503,#2029,#2030); -#1868=AXIS2_PLACEMENT_3D('',#2506,#2032,#2033); -#1869=AXIS2_PLACEMENT_3D('',#2507,#2034,#2035); -#1870=AXIS2_PLACEMENT_3D('',#2513,#2039,#2040); -#1871=AXIS2_PLACEMENT_3D('',#2515,#2041,#2042); -#1872=AXIS2_PLACEMENT_3D('',#2518,#2044,#2045); -#1873=AXIS2_PLACEMENT_3D('',#2519,#2046,#2047); -#1874=AXIS2_PLACEMENT_3D('',#2528,#2052,#2053); -#1875=AXIS2_PLACEMENT_3D('',#2534,#2057,#2058); -#1876=AXIS2_PLACEMENT_3D('',#2536,#2059,#2060); -#1877=AXIS2_PLACEMENT_3D('',#2539,#2062,#2063); -#1878=AXIS2_PLACEMENT_3D('',#2540,#2064,#2065); -#1879=AXIS2_PLACEMENT_3D('',#2545,#2067,#2068); -#1880=AXIS2_PLACEMENT_3D('',#2548,#2070,#2071); -#1881=AXIS2_PLACEMENT_3D('',#2549,#2072,#2073); -#1882=AXIS2_PLACEMENT_3D('',#2555,#2077,#2078); -#1883=AXIS2_PLACEMENT_3D('',#2560,#2080,#2081); -#1884=AXIS2_PLACEMENT_3D('',#2563,#2083,#2084); -#1885=AXIS2_PLACEMENT_3D('',#2564,#2085,#2086); -#1886=AXIS2_PLACEMENT_3D('',#2570,#2090,#2091); -#1887=AXIS2_PLACEMENT_3D('',#2572,#2092,#2093); -#1888=AXIS2_PLACEMENT_3D('',#2575,#2095,#2096); -#1889=AXIS2_PLACEMENT_3D('',#2576,#2097,#2098); -#1890=AXIS2_PLACEMENT_3D('',#2582,#2102,#2103); -#1891=AXIS2_PLACEMENT_3D('',#2591,#2108,#2109); -#1892=AXIS2_PLACEMENT_3D('',#2593,#2110,#2111); -#1893=AXIS2_PLACEMENT_3D('',#2596,#2113,#2114); -#1894=AXIS2_PLACEMENT_3D('',#2597,#2115,#2116); -#1895=AXIS2_PLACEMENT_3D('',#2599,#2117,#2118); -#1896=AXIS2_PLACEMENT_3D('',#2602,#2120,#2121); -#1897=AXIS2_PLACEMENT_3D('',#2603,#2122,#2123); -#1898=AXIS2_PLACEMENT_3D('',#2609,#2127,#2128); -#1899=AXIS2_PLACEMENT_3D('',#2618,#2133,#2134); -#1900=AXIS2_PLACEMENT_3D('',#2620,#2135,#2136); -#1901=AXIS2_PLACEMENT_3D('',#2623,#2138,#2139); -#1902=AXIS2_PLACEMENT_3D('',#2624,#2140,#2141); -#1903=AXIS2_PLACEMENT_3D('',#2630,#2145,#2146); -#1904=AXIS2_PLACEMENT_3D('',#2635,#2148,#2149); -#1905=AXIS2_PLACEMENT_3D('',#2638,#2151,#2152); -#1906=AXIS2_PLACEMENT_3D('',#2639,#2153,#2154); -#1907=AXIS2_PLACEMENT_3D('',#2645,#2158,#2159); -#1908=AXIS2_PLACEMENT_3D('',#2648,#2162,#2163); -#1909=AXIS2_PLACEMENT_3D('',#2652,#2166,#2167); -#1910=AXIS2_PLACEMENT_3D('',#2657,#2171,#2172); -#1911=AXIS2_PLACEMENT_3D('',#2659,#2174,#2175); -#1912=AXIS2_PLACEMENT_3D('',#2661,#2177,#2178); -#1913=AXIS2_PLACEMENT_3D('',#2665,#2180,#2181); -#1914=AXIS2_PLACEMENT_3D('',#2668,#2184,#2185); -#1915=AXIS2_PLACEMENT_3D('',#2673,#2188,#2189); -#1916=AXIS2_PLACEMENT_3D('',#2675,#2191,#2192); -#1917=AXIS2_PLACEMENT_3D('',#2695,#2194,#2195); -#1918=AXIS2_PLACEMENT_3D('',#2756,#2200,#2201); -#1919=AXIS2_PLACEMENT_3D('',#2820,#2205,#2206); -#1920=AXIS2_PLACEMENT_3D('',#2829,#2208,#2209); -#1921=AXIS2_PLACEMENT_3D('',#2865,#2213,#2214); -#1922=AXIS2_PLACEMENT_3D('',#2868,#2215,#2216); -#1923=AXIS2_PLACEMENT_3D('',#2869,#2217,#2218); -#1924=AXIS2_PLACEMENT_3D('',#2873,#2221,#2222); -#1925=AXIS2_PLACEMENT_3D('',#2874,#2223,#2224); -#1926=AXIS2_PLACEMENT_3D('',#2875,#2225,#2226); -#1927=AXIS2_PLACEMENT_3D('',#2891,#2236,#2237); -#1928=AXIS2_PLACEMENT_3D('',#2893,#2239,#2240); -#1929=AXIS2_PLACEMENT_3D('',#2899,#2244,#2245); -#1930=AXIS2_PLACEMENT_3D('',#2936,#2258,#2259); -#1931=AXIS2_PLACEMENT_3D('',#2938,#2261,#2262); -#1932=AXIS2_PLACEMENT_3D('',#2941,#2264,#2265); -#1933=AXIS2_PLACEMENT_3D('',#2942,#2266,#2267); -#1934=AXIS2_PLACEMENT_3D('',#2943,#2268,#2269); -#1935=AXIS2_PLACEMENT_3D('',#2946,#2271,#2272); -#1936=AXIS2_PLACEMENT_3D('',#2947,#2273,#2274); -#1937=AXIS2_PLACEMENT_3D('',#2949,#2275,#2276); -#1938=AXIS2_PLACEMENT_3D('',#2950,#2277,#2278); -#1939=AXIS2_PLACEMENT_3D('',#2954,#2280,#2281); -#1940=AXIS2_PLACEMENT_3D('',#2958,#2283,#2284); -#1941=AXIS2_PLACEMENT_3D('',#2962,#2286,#2287); -#1942=AXIS2_PLACEMENT_3D('',#2966,#2289,#2290); -#1943=AXIS2_PLACEMENT_3D('',#2969,#2292,#2293); -#1944=AXIS2_PLACEMENT_3D('',#2970,#2294,#2295); -#1945=AXIS2_PLACEMENT_3D('',#2973,#2296,#2297); -#1946=AXIS2_PLACEMENT_3D('',#2980,#2298,#2299); -#1947=AXIS2_PLACEMENT_3D('',#2982,#2301,#2302); -#1948=AXIS2_PLACEMENT_3D('',#2984,#2304,#2305); -#1949=AXIS2_PLACEMENT_3D('',#2986,#2307,#2308); -#1950=AXIS2_PLACEMENT_3D('',#3006,#2310,#2311); -#1951=AXIS2_PLACEMENT_3D('',#3007,#2312,#2313); -#1952=AXIS2_PLACEMENT_3D('',#3008,#2314,#2315); -#1953=AXIS2_PLACEMENT_3D('',#3058,#2317,#2318); -#1954=AXIS2_PLACEMENT_3D('',#3131,#2321,#2322); -#1955=AXIS2_PLACEMENT_3D('',#3144,#2324,#2325); -#1956=AXIS2_PLACEMENT_3D('',#3147,#2327,#2328); -#1957=AXIS2_PLACEMENT_3D('',#3148,#2329,#2330); -#1958=AXIS2_PLACEMENT_3D('',#3152,#2333,#2334); -#1959=AXIS2_PLACEMENT_3D('',#3159,#2339,#2340); -#1960=AXIS2_PLACEMENT_3D('',#3161,#2342,#2343); -#1961=AXIS2_PLACEMENT_3D('',#3162,#2344,#2345); -#1962=AXIS2_PLACEMENT_3D('',#3163,#2346,#2347); -#1963=AXIS2_PLACEMENT_3D('',#3164,#2348,#2349); -#1964=AXIS2_PLACEMENT_3D('',#3165,#2350,#2351); -#1965=AXIS2_PLACEMENT_3D('',#3166,#2352,#2353); -#1966=AXIS2_PLACEMENT_3D('',#3217,#2357,#2358); -#1967=AXIS2_PLACEMENT_3D('',#3219,#2359,#2360); -#1968=AXIS2_PLACEMENT_3D('',#3226,#2361,#2362); -#1969=AXIS2_PLACEMENT_3D('',#3227,#2363,#2364); -#1970=AXIS2_PLACEMENT_3D('',#3259,#2367,#2368); -#1971=AXIS2_PLACEMENT_3D('',#3261,#2370,#2371); -#1972=AXIS2_PLACEMENT_3D('',#3263,#2373,#2374); -#1973=AXIS2_PLACEMENT_3D('',#3267,#2377,#2378); -#1974=AXIS2_PLACEMENT_3D('',#3272,#2382,#2383); -#1975=AXIS2_PLACEMENT_3D('',#3274,#2385,#2386); -#1976=AXIS2_PLACEMENT_3D('',#3275,#2387,#2388); -#1977=AXIS2_PLACEMENT_3D('',#3276,#2389,#2390); -#1978=AXIS2_PLACEMENT_3D('',#3277,#2391,#2392); -#1979=AXIS2_PLACEMENT_3D('',#3278,#2393,#2394); -#1980=AXIS2_PLACEMENT_3D('',#3279,#2395,#2396); -#1981=AXIS2_PLACEMENT_3D('',#3280,#2397,#2398); -#1982=AXIS2_PLACEMENT_3D('',#3281,#2399,#2400); -#1983=AXIS2_PLACEMENT_3D('',#3282,#2401,#2402); -#1984=AXIS2_PLACEMENT_3D('',#3286,#2404,#2405); -#1985=AXIS2_PLACEMENT_3D('',#3288,#2407,#2408); -#1986=AXIS2_PLACEMENT_3D('',#3291,#2410,#2411); -#1987=AXIS2_PLACEMENT_3D('',#3292,#2412,#2413); -#1988=AXIS2_PLACEMENT_3D('',#3300,#2418,#2419); -#1989=AXIS2_PLACEMENT_3D('',#3301,#2420,#2421); -#1990=AXIS2_PLACEMENT_3D('',#3303,#2423,#2424); -#1991=AXIS2_PLACEMENT_3D('',#3309,#2428,#2429); -#1992=AXIS2_PLACEMENT_3D('',#3312,#2432,#2433); -#1993=AXIS2_PLACEMENT_3D('',#3315,#2436,#2437); -#1994=AXIS2_PLACEMENT_3D('',#3316,#2438,#2439); -#1995=AXIS2_PLACEMENT_3D('',#3318,#2441,#2442); -#1996=AXIS2_PLACEMENT_3D('',#3323,#2445,#2446); -#1997=AXIS2_PLACEMENT_3D('',#3325,#2448,#2449); -#1998=AXIS2_PLACEMENT_3D('',#3384,#2451,#2452); -#1999=AXIS2_PLACEMENT_3D('',#3397,#2454,#2455); -#2000=AXIS2_PLACEMENT_3D('',#3400,#2457,#2458); -#2001=AXIS2_PLACEMENT_3D('',#3403,#2461,#2462); -#2002=AXIS2_PLACEMENT_3D('',#3404,#2463,#2464); -#2003=AXIS2_PLACEMENT_3D('',#3405,#2465,#2466); -#2004=AXIS2_PLACEMENT_3D('',#3475,#2470,#2471); -#2005=AXIS2_PLACEMENT_3D('',#3507,#2474,#2475); -#2006=DIRECTION('axis',(0.,0.,1.)); -#2007=DIRECTION('refdir',(1.,0.,0.)); -#2008=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2009=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); -#2010=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2011=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2012=DIRECTION('ref_axis',(5.61210437815757E-48,-2.22044604925031E-16, --1.)); -#2013=DIRECTION('',(3.36726262690599E-48,-2.22044604925031E-16,-1.)); -#2014=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2015=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2016=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2017=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); -#2018=DIRECTION('',(1.06110338123355E-28,-8.66678709475425E-13,-1.)); -#2019=DIRECTION('',(-1.22464679914735E-16,1.,-3.3528735343825E-14)); -#2020=DIRECTION('',(2.54191896340051E-29,-2.07785479643525E-13,-1.)); -#2021=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2022=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); -#2023=DIRECTION('',(1.22464679914735E-16,-1.,-6.4020269474005E-14)); -#2024=DIRECTION('',(0.,2.22044604925031E-16,1.)); -#2025=DIRECTION('',(1.22464679914735E-16,-1.,-8.13255932466775E-13)); -#2026=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2027=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); -#2028=DIRECTION('',(3.36726262690599E-48,-2.22044604925031E-16,-1.)); -#2029=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2030=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2031=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2032=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2033=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); -#2034=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2035=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); -#2036=DIRECTION('',(-2.12811820191711E-29,1.73996083241925E-13,1.)); -#2037=DIRECTION('',(-1.22464679914735E-16,1.,4.1411318818695E-14)); -#2038=DIRECTION('',(-8.54203000491601E-29,6.97731727467225E-13,1.)); -#2039=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2040=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); -#2041=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2042=DIRECTION('ref_axis',(-5.79666151596615E-18,0.04733333333335,0.998879149624996)); -#2043=DIRECTION('',(4.75670884464262E-30,-3.8619430732735E-14,1.)); -#2044=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2045=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-5.00142982587292E-12)); -#2046=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2047=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); -#2048=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2049=DIRECTION('',(-1.22464679914735E-16,1.,-2.0843594897005E-14)); -#2050=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2051=DIRECTION('',(1.22464679914735E-16,-1.,2.06893562931393E-12)); -#2052=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2053=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); -#2054=DIRECTION('',(-1.22464679914735E-16,1.,-2.0843594897005E-14)); -#2055=DIRECTION('',(0.,2.22044604925031E-16,1.)); -#2056=DIRECTION('',(1.22464679914735E-16,-1.,2.06893562931393E-12)); -#2057=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2058=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); -#2059=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2060=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2061=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2062=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2063=DIRECTION('ref_axis',(9.38950951276193E-29,-7.66933680915025E-13, --1.)); -#2064=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2065=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); -#2066=DIRECTION('',(-1.22464679914735E-16,1.,-8.6264329013375E-14)); -#2067=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2068=DIRECTION('ref_axis',(7.1982932780676E-17,-0.587785252293096,0.809016994374495)); -#2069=DIRECTION('',(-7.19829327805551E-17,0.587785252292109,-0.809016994375212)); -#2070=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2071=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2072=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2073=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); -#2074=DIRECTION('',(9.90760072617357E-17,-0.809016994375165,-0.587785252292174)); -#2075=DIRECTION('',(-2.97262580684554E-29,2.42955362400325E-13,1.)); -#2076=DIRECTION('',(9.90760072617934E-17,-0.809016994375636,-0.587785252291526)); -#2077=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2078=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); -#2079=DIRECTION('',(3.36726262689656E-48,-2.22044604925031E-16,-1.)); -#2080=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2081=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2082=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2083=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2084=DIRECTION('ref_axis',(-4.91387466169359E-28,4.01226111798108E-12, --1.)); -#2085=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2086=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); -#2087=DIRECTION('',(-2.0816999422183E-29,1.69761655484575E-13,-1.)); -#2088=DIRECTION('',(1.22464679914735E-16,-1.,3.0753177782115E-14)); -#2089=DIRECTION('',(-1.64720705964772E-29,1.34726708381825E-13,1.)); -#2090=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2091=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); -#2092=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2093=DIRECTION('ref_axis',(7.19829327808821E-17,-0.587785252294779,0.809016994373272)); -#2094=DIRECTION('',(7.19829327805551E-17,-0.587785252292109,0.809016994375212)); -#2095=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2096=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2097=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2098=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); -#2099=DIRECTION('',(-9.90760072617876E-17,0.809016994375588,0.587785252291591)); -#2100=DIRECTION('',(2.46389215876424E-29,-2.01414107531125E-13,-1.)); -#2101=DIRECTION('',(9.90760072617358E-17,-0.809016994375165,-0.587785252292174)); -#2102=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2103=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2104=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2105=DIRECTION('',(7.56370588613847E-30,-6.1984390216775E-14,-1.)); -#2106=DIRECTION('',(-1.22464679914735E-16,1.,8.5820239803525E-14)); -#2107=DIRECTION('',(2.60191482483207E-29,-2.12240424299875E-13,1.)); -#2108=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2109=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2110=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2111=DIRECTION('ref_axis',(7.1982932780676E-17,-0.587785252293096,0.809016994374495)); -#2112=DIRECTION('',(-7.19829327805551E-17,0.587785252292109,-0.809016994375212)); -#2113=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2114=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2115=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2116=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2117=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2118=DIRECTION('ref_axis',(8.98232833191182E-29,-7.33684814292125E-13, --1.)); -#2119=DIRECTION('',(-3.36726262689723E-48,2.22044604925031E-16,1.)); -#2120=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2121=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2122=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2123=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2124=DIRECTION('',(-9.90760072617357E-17,0.809016994375165,0.587785252292174)); -#2125=DIRECTION('',(-2.97262580684554E-29,2.42955362400325E-13,1.)); -#2126=DIRECTION('',(9.90760072617934E-17,-0.809016994375636,-0.587785252291526)); -#2127=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2128=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); -#2129=DIRECTION('',(-3.36726262689791E-48,2.22044604925031E-16,1.)); -#2130=DIRECTION('',(-1.22464679914735E-16,1.,-5.2806997849735E-14)); -#2131=DIRECTION('',(-3.36726262688915E-48,2.22044604925031E-16,1.)); -#2132=DIRECTION('',(-1.22464679914735E-16,1.,2.0399505687155E-14)); -#2133=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2134=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); -#2135=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2136=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2137=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2138=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2139=DIRECTION('ref_axis',(-4.92914395597475E-28,4.02472944296408E-12, --1.)); -#2140=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2141=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); -#2142=DIRECTION('',(-1.22464679914735E-16,1.,-5.2806997849735E-14)); -#2143=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2144=DIRECTION('',(-1.22464679914735E-16,1.,2.0399505687155E-14)); -#2145=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2146=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); -#2147=DIRECTION('',(1.22464679914735E-16,-1.,-3.0309088572265E-14)); -#2148=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2149=DIRECTION('ref_axis',(7.19829327808821E-17,-0.587785252294779,0.809016994373272)); -#2150=DIRECTION('',(7.1982932780555E-17,-0.587785252292109,0.809016994375212)); -#2151=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2152=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2153=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2154=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); -#2155=DIRECTION('',(9.90760072617876E-17,-0.809016994375588,-0.587785252291591)); -#2156=DIRECTION('',(2.46647020089989E-29,-2.01624620647025E-13,-1.)); -#2157=DIRECTION('',(9.90760072617358E-17,-0.809016994375165,-0.587785252292174)); -#2158=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2159=DIRECTION('ref_axis',(1.22320986068763E-16,-0.998826650703924,0.0484285230373714)); -#2160=DIRECTION('',(-1.,-1.22464679914742E-16,-3.035766082959E-14)); -#2161=DIRECTION('',(1.,-3.05604568014253E-14,6.78578455680096E-30)); -#2162=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2163=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2164=DIRECTION('',(1.22464679914735E-16,-1.,4.64527983432025E-13)); -#2165=DIRECTION('',(-1.,-1.22464679914726E-16,4.380176776841E-14)); -#2166=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2167=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2168=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2169=DIRECTION('',(-1.22464679914735E-16,1.,-4.0101082295595E-14)); -#2170=DIRECTION('',(1.,1.22464679914739E-16,1.734723475977E-14)); -#2171=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2172=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2173=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2174=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2175=DIRECTION('ref_axis',(1.21444077871371E-16,-0.99166615187273,0.128834169496804)); -#2176=DIRECTION('',(-1.,1.25762532653253E-14,-2.79248918773628E-30)); -#2177=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2178=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2179=DIRECTION('',(-1.,-1.22464679914739E-16,-1.580554543172E-14)); -#2180=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2181=DIRECTION('ref_axis',(-0.905948407741114,-2.0495772498149E-16,-0.423388099161207)); -#2182=DIRECTION('',(-1.,-1.22464679914724E-16,5.172723959471E-14)); -#2183=DIRECTION('',(-7.56370588613969E-30,6.1984390216785E-14,1.)); -#2184=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2185=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2186=DIRECTION('',(-2.60149461894986E-29,2.12206111885675E-13,-1.)); -#2187=DIRECTION('',(1.,1.22464679914708E-16,-1.221337601541E-13)); -#2188=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2189=DIRECTION('ref_axis',(0.905948407741247,1.69356385651491E-17,-0.423388099160922)); -#2190=DIRECTION('',(1.,1.35032957556248E-14,1.709872642158E-13)); -#2191=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2192=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); -#2193=DIRECTION('',(-1.,-8.65820978747747E-14,1.367401118074E-14)); -#2194=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2195=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); -#2196=DIRECTION('',(1.,-6.72980479172352E-14,7.596677475735E-14)); -#2197=DIRECTION('',(-1.36124785196515E-13,1.,-3.27737836869325E-13)); -#2198=DIRECTION('',(7.52396959039837E-7,-0.798815897584111,0.601575566131409)); -#2199=DIRECTION('',(-1.36124785196515E-13,1.,-3.27737836869325E-13)); -#2200=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2201=DIRECTION('ref_axis',(0.92799049589484,3.09113812500467E-17,-0.372603864082016)); -#2202=DIRECTION('',(-2.44126600737585E-13,-1.,2.25042207091525E-13)); -#2203=DIRECTION('',(-2.44126600737585E-13,-1.,2.25042207091525E-13)); -#2204=DIRECTION('',(-7.4099102280493E-7,0.798815898976503,-0.601575564282503)); -#2205=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2206=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); -#2207=DIRECTION('',(1.,1.98738024318947E-14,-6.83700152953E-14)); -#2208=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2209=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); -#2210=DIRECTION('',(-1.,-2.71381326029648E-14,-1.671268033201E-13)); -#2211=DIRECTION('',(-1.08504227276895E-6,0.587785252291983,-0.809016994374576)); -#2212=DIRECTION('',(-1.08504227276895E-6,0.587785252291983,-0.809016994374576)); -#2213=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, --0.809016994374918)); -#2214=DIRECTION('ref_axis',(-0.990117793621231,0.113455092873807,0.0824299499916275)); -#2215=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2216=DIRECTION('ref_axis',(-1.43369639480403E-17,2.22044604925031E-16, -1.)); -#2217=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, --0.809016994374918)); -#2218=DIRECTION('ref_axis',(0.752877169209016,0.532463474698734,0.386857358978177)); -#2219=DIRECTION('',(1.10560375861594E-6,-0.587785252291678,0.80901699437477)); -#2220=DIRECTION('',(1.10560375861594E-6,-0.587785252291678,0.80901699437477)); -#2221=DIRECTION('center_axis',(7.19829327806046E-17,-0.587785252292513, -0.809016994374918)); -#2222=DIRECTION('ref_axis',(0.980839673372145,0.15760999729371,0.114510365872702)); -#2223=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, --0.809016994374918)); -#2224=DIRECTION('ref_axis',(-0.980839673372249,0.15760999729329,0.114510365872397)); -#2225=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2226=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2227=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2228=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2229=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2230=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2231=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2232=DIRECTION('',(1.,-6.98750001392853E-14,-3.233450400656E-13)); -#2233=DIRECTION('',(1.,4.99445462700248E-14,3.311053210272E-13)); -#2234=DIRECTION('',(-1.,-1.22464679914739E-16,-1.734723475977E-14)); -#2235=DIRECTION('',(1.,-6.98278456542753E-14,-3.285185607066E-13)); -#2236=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2237=DIRECTION('ref_axis',(-1.43369639480403E-17,2.22044604925031E-16, -1.)); -#2238=DIRECTION('',(1.,4.97559283299848E-14,3.311053210272E-13)); -#2239=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2240=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2241=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2242=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2243=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2244=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2245=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2246=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2247=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2248=DIRECTION('',(0.,2.22044604925031E-16,1.)); -#2249=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2250=DIRECTION('',(-1.,-1.22464679914752E-16,-7.38857569556E-14)); -#2251=DIRECTION('',(1.,1.22464679914709E-16,-1.193489751472E-13)); -#2252=DIRECTION('',(0.,2.22044604925031E-16,1.)); -#2253=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2254=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2255=DIRECTION('',(1.,1.2246467991471E-16,-1.137978600241E-13)); -#2256=DIRECTION('',(-2.71811933979613E-30,2.1973084159455E-14,-1.)); -#2257=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2258=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2259=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); -#2260=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2261=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2262=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2263=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2264=DIRECTION('center_axis',(0.,2.22044604925031E-16,1.)); -#2265=DIRECTION('ref_axis',(1.34752285577285E-13,1.,-2.22044604925031E-16)); -#2266=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2267=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); -#2268=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2269=DIRECTION('ref_axis',(0.0627905195295175,-0.998026728428259,2.21606450618474E-16)); -#2270=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2271=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2272=DIRECTION('ref_axis',(1.33696172330215E-13,-1.,2.22044604925031E-16)); -#2273=DIRECTION('center_axis',(0.,2.22044604925031E-16,1.)); -#2274=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2275=DIRECTION('center_axis',(0.,2.22044604925031E-16,1.)); -#2276=DIRECTION('ref_axis',(1.,-6.05411676667685E-13,1.34428396562677E-28)); -#2277=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2278=DIRECTION('ref_axis',(-0.998026728428274,-0.0627905195292685,1.39422961019139E-17)); -#2279=DIRECTION('',(0.,2.22044604925031E-16,1.)); -#2280=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2281=DIRECTION('ref_axis',(-0.998026728428274,-0.0627905195292685,1.39422961019139E-17)); -#2282=DIRECTION('',(0.,2.22044604925031E-16,1.)); -#2283=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2284=DIRECTION('ref_axis',(0.366668542965391,-0.930351642982177,2.06579563007331E-16)); -#2285=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2286=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, --1.)); -#2287=DIRECTION('ref_axis',(-0.366683925769921,-0.930345580191554,-6.06214566950332E-12)); -#2288=DIRECTION('',(-4.82623637167283E-7,-2.10645974358488E-6,0.999999999997665)); -#2289=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2290=DIRECTION('ref_axis',(-0.366668542965391,0.930351642982177,-2.06579563007331E-16)); -#2291=DIRECTION('',(-1.91871792214708E-7,1.86545409494903E-6,-0.999999999998242)); -#2292=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2293=DIRECTION('ref_axis',(-0.998026728428274,-0.0627905195292685,1.39422961019139E-17)); -#2294=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, --1.)); -#2295=DIRECTION('ref_axis',(-0.261693897529389,-0.96515092291096,-6.28893780151795E-12)); -#2296=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, --1.)); -#2297=DIRECTION('ref_axis',(-0.407426727320992,-0.913237899927782,-5.95067176987463E-12)); -#2298=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2299=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2300=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2301=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2302=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2303=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2304=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2305=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2306=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2307=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2308=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2309=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2310=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, --1.)); -#2311=DIRECTION('ref_axis',(-0.261693897529389,-0.96515092291096,-6.28893780151795E-12)); -#2312=DIRECTION('center_axis',(-7.98008872568148E-28,6.51601490733707E-12, --1.)); -#2313=DIRECTION('ref_axis',(0.407426605634987,0.91323795421607,5.95067212361793E-12)); -#2314=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2315=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2316=DIRECTION('',(-7.65533258124356E-17,0.625105343563018,0.780540395782922)); -#2317=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2318=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2319=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2320=DIRECTION('',(-7.65533258124222E-17,0.625105343562908,0.78054039578301)); -#2321=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2322=DIRECTION('ref_axis',(-1.22320986068768E-16,0.998826650703963,-0.0484285230365684)); -#2323=DIRECTION('',(1.,7.53364395756615E-13,-1.67280499620362E-28)); -#2324=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2325=DIRECTION('ref_axis',(-1.21444077871144E-16,0.991666151870873,-0.128834169511097)); -#2326=DIRECTION('',(-1.,6.64909167975952E-14,-2.831068712794E-13)); -#2327=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2328=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,1.39680859471507E-12)); -#2329=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2330=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2331=DIRECTION('',(-3.70808474576373E-30,3.0056765157575E-14,-1.)); -#2332=DIRECTION('',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2333=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2334=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2335=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2336=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2337=DIRECTION('',(-1.,-1.11144767142415E-13,4.829470157119E-13)); -#2338=DIRECTION('',(-3.70808474576373E-30,3.0056765157575E-14,-1.)); -#2339=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2340=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2341=DIRECTION('',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2342=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2343=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2344=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2345=DIRECTION('ref_axis',(-5.79666151596249E-18,0.0473333333333201,0.998879149624997)); -#2346=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2347=DIRECTION('ref_axis',(-1.21444077871144E-16,0.991666151870873,-0.128834169511097)); -#2348=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2349=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2350=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2351=DIRECTION('ref_axis',(-1.22320986068768E-16,0.998826650703963,-0.0484285230365684)); -#2352=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2353=DIRECTION('ref_axis',(-2.17374806849756E-18,0.0177500000000901,0.999842456339996)); -#2354=DIRECTION('',(1.,-6.16874155866085E-13,4.398777168561E-13)); -#2355=DIRECTION('',(3.47626338118609E-12,1.,5.72297764735208E-12)); -#2356=DIRECTION('',(3.47626338118609E-12,1.,5.72297764735208E-12)); -#2357=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2358=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2359=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2360=DIRECTION('ref_axis',(-0.933892514695983,-1.93761756014383E-16,-0.357553871458293)); -#2361=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2362=DIRECTION('ref_axis',(0.95003718147939,4.70377168958331E-17,-0.312136754975597)); -#2363=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2364=DIRECTION('ref_axis',(0.933892514696435,3.4975939759956E-17,-0.357553871457113)); -#2365=DIRECTION('',(4.03749249453915E-13,-1.,-1.19049214930907E-12)); -#2366=DIRECTION('',(4.03749249453915E-13,-1.,-1.19049214930907E-12)); -#2367=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2368=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2369=DIRECTION('',(-1.,3.87353411819653E-14,-8.60097352938577E-30)); -#2370=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2371=DIRECTION('ref_axis',(5.93078357303182E-18,-0.0484285230415912,-0.99882665070372)); -#2372=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2373=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2374=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2375=DIRECTION('',(-1.22464679914735E-16,1.,8.86012288170575E-13)); -#2376=DIRECTION('',(1.,1.22464679914747E-16,5.190292640123E-14)); -#2377=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2378=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2379=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2380=DIRECTION('',(1.22464679914735E-16,-1.,-7.7952337587505E-14)); -#2381=DIRECTION('',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2382=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2383=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2384=DIRECTION('',(0.,-2.22044604925031E-16,-1.)); -#2385=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2386=DIRECTION('ref_axis',(1.5777635329421E-17,-0.128834169496103,-0.991666151872821)); -#2387=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2388=DIRECTION('ref_axis',(0.,2.22044604925031E-16,1.)); -#2389=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2390=DIRECTION('ref_axis',(0.,-2.22044604925031E-16,-1.)); -#2391=DIRECTION('center_axis',(-7.19829327806126E-17,0.587785252292579, --0.809016994374871)); -#2392=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2393=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2394=DIRECTION('ref_axis',(9.90760072617215E-17,-0.809016994375048,-0.587785252292335)); -#2395=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); -#2396=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2397=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2398=DIRECTION('ref_axis',(-9.90760072617215E-17,0.809016994375048,0.587785252292335)); -#2399=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); -#2400=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2401=DIRECTION('center_axis',(-7.19829327806126E-17,0.587785252292579, --0.809016994374871)); -#2402=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2403=DIRECTION('',(1.,-7.06215094798153E-14,-2.446127213899E-13)); -#2404=DIRECTION('center_axis',(7.19829327806046E-17,-0.587785252292513, -0.809016994374918)); -#2405=DIRECTION('ref_axis',(0.980839673372145,0.15760999729371,0.114510365872702)); -#2406=DIRECTION('',(1.,1.22464679914787E-16,2.324583307575E-13)); -#2407=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); -#2408=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2409=DIRECTION('',(-1.,-1.29075986787115E-13,-1.139500931728E-13)); -#2410=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, --0.809016994374918)); -#2411=DIRECTION('ref_axis',(0.752877169209016,0.532463474698734,0.386857358978177)); -#2412=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, --0.809016994374918)); -#2413=DIRECTION('ref_axis',(-0.990117793621231,0.113455092873807,0.0824299499916275)); -#2414=DIRECTION('',(1.10560375722903E-6,-0.587785252291725,0.809016994374735)); -#2415=DIRECTION('',(1.10560375722903E-6,-0.587785252291725,0.809016994374735)); -#2416=DIRECTION('',(-1.08504225750397E-6,0.587785252292095,-0.809016994374494)); -#2417=DIRECTION('',(-1.08504225750397E-6,0.587785252292095,-0.809016994374494)); -#2418=DIRECTION('center_axis',(-7.19829327806046E-17,0.587785252292513, --0.809016994374918)); -#2419=DIRECTION('ref_axis',(-0.980839673372249,0.15760999729329,0.114510365872397)); -#2420=DIRECTION('center_axis',(-7.19829327805908E-17,0.5877852522924,-0.809016994375001)); -#2421=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2422=DIRECTION('',(-1.,-1.26569749289247E-14,2.81041299763912E-30)); -#2423=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2424=DIRECTION('ref_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2425=DIRECTION('',(1.22464679914735E-16,-1.,4.8239661416135E-14)); -#2426=DIRECTION('',(-3.36726262688915E-48,2.22044604925031E-16,1.)); -#2427=DIRECTION('',(-1.22464679914735E-16,1.,-4.15289579752725E-13)); -#2428=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2429=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2430=DIRECTION('',(-1.,-1.22464679914742E-16,-3.035766082959E-14)); -#2431=DIRECTION('',(-1.,-1.22464679914725E-16,4.423544863741E-14)); -#2432=DIRECTION('center_axis',(0.,-2.22044604925031E-16,-1.)); -#2433=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2434=DIRECTION('',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2435=DIRECTION('',(1.,1.22464679914739E-16,1.691355389077E-14)); -#2436=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2437=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2438=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2439=DIRECTION('ref_axis',(1.22320986068763E-16,-0.998826650703924,0.0484285230373714)); -#2440=DIRECTION('',(1.,-3.04520365841753E-14,6.76171043249579E-30)); -#2441=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2442=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2443=DIRECTION('',(1.64720705964772E-29,-1.34726708381825E-13,-1.)); -#2444=DIRECTION('',(-1.,-1.22464679914738E-16,-1.149494213216E-14)); -#2445=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2446=DIRECTION('ref_axis',(-0.905948407741265,-2.04957724981437E-16,-0.423388099160884)); -#2447=DIRECTION('',(-1.,-1.22464679914739E-16,-1.724241319824E-14)); -#2448=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2449=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); -#2450=DIRECTION('',(7.4099100753933E-7,-0.798815898976503,0.601575564282503)); -#2451=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2452=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); -#2453=DIRECTION('',(1.,1.22464679914717E-16,-8.046459492509E-14)); -#2454=DIRECTION('center_axis',(1.22464679914735E-16,-1.,2.22044604925031E-16)); -#2455=DIRECTION('ref_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2456=DIRECTION('',(-1.,1.24678330480753E-14,-2.76841506343112E-30)); -#2457=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2458=DIRECTION('ref_axis',(0.90594840774117,1.69356385651032E-17,-0.423388099161086)); -#2459=DIRECTION('',(1.,1.79037032905948E-14,1.666766609163E-13)); -#2460=DIRECTION('',(2.08127973633609E-29,-1.69727343070375E-13,1.)); -#2461=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2462=DIRECTION('ref_axis',(1.21444077871371E-16,-0.99166615187273,0.128834169496804)); -#2463=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,2.71926214689378E-32)); -#2464=DIRECTION('ref_axis',(9.90760072617257E-17,-0.809016994375083,-0.587785252292287)); -#2465=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2466=DIRECTION('ref_axis',(6.71003669576352E-17,-0.547916076736191,0.836533306482187)); -#2467=DIRECTION('',(-2.01350387893215E-13,1.,-2.69451128076525E-13)); -#2468=DIRECTION('',(-7.52396958345923E-7,0.798815897584195,-0.601575566131297)); -#2469=DIRECTION('',(-2.01350387893215E-13,1.,-2.69451128076525E-13)); -#2470=DIRECTION('center_axis',(-1.22464679914735E-16,1.,-2.22044604925031E-16)); -#2471=DIRECTION('ref_axis',(0.92799049589484,3.09113812500467E-17,-0.372603864082016)); -#2472=DIRECTION('',(-1.76125440479285E-13,-1.,1.02917674382725E-13)); -#2473=DIRECTION('',(-1.76125440479285E-13,-1.,1.02917674382725E-13)); -#2474=DIRECTION('center_axis',(1.,1.22464679914735E-16,-2.71926214689378E-32)); -#2475=DIRECTION('ref_axis',(5.86186628371672E-17,-0.478657706679018,0.878001594438634)); -#2476=CARTESIAN_POINT('',(0.,0.,0.)); -#2477=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); -#2478=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.213942573184684)); -#2479=CARTESIAN_POINT('',(0.654699999999999,1.97763577318468,0.213942573184684)); -#2480=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.213942573184684)); -#2481=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); -#2482=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.213942573184684)); -#2483=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.0107425731846836)); -#2484=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); -#2485=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.213942573184684)); -#2486=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); -#2487=CARTESIAN_POINT('',(0.654699999999999,1.97763577318446,0.506042573184589)); -#2488=CARTESIAN_POINT('',(0.654699999999999,1.97763577318468,0.506042573184683)); -#2489=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); -#2490=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); -#2491=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); -#2492=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); -#2493=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.137742573184684)); -#2494=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); -#2495=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.010742573184684)); -#2496=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.010742573184684)); -#2497=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.0107425731846836)); -#2498=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); -#2499=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.137742573184683)); -#2500=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.0107425731846836)); -#2501=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.137742573184683)); -#2502=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); -#2503=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.213942573184684)); -#2504=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318473,0.213942573184684)); -#2505=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); -#2506=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.213942573184684)); -#2507=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); -#2508=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.506042573184647)); -#2509=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); -#2510=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318468,0.506042573184683)); -#2511=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.506042573184683)); -#2512=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318468,0.213942573184684)); -#2513=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); -#2514=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318468,0.582157164385982)); -#2515=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.506042573184683)); -#2516=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318469,0.709210560312972)); -#2517=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318468,0.582157164385982)); -#2518=CARTESIAN_POINT('Origin',(-0.615300000000001,1.77443577318468,0.5060425731857)); -#2519=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); -#2520=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.137742573184684)); -#2521=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.0107425731846599)); -#2522=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.137742573184684)); -#2523=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); -#2524=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); -#2525=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.0107425731846845)); -#2526=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); -#2527=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.0107425731846845)); -#2528=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); -#2529=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.137742573184684)); -#2530=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.137742573184684)); -#2531=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.0107425731846842)); -#2532=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.0107425731846842)); -#2533=CARTESIAN_POINT('',(0.8833,-1.5919254611266,0.0107425731846845)); -#2534=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); -#2535=CARTESIAN_POINT('',(0.8833,-1.97761713887337,0.213942573184684)); -#2536=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887417,0.213942573184685)); -#2537=CARTESIAN_POINT('',(0.8833,-1.85061713887329,0.213942573184685)); -#2538=CARTESIAN_POINT('',(0.8833,-1.85061713887327,0.213942573184685)); -#2539=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887329,0.213942573184685)); -#2540=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); -#2541=CARTESIAN_POINT('',(0.8833,-1.97761713887335,0.534977811305723)); -#2542=CARTESIAN_POINT('',(0.8833,-1.85061713887335,0.53497781130571)); -#2543=CARTESIAN_POINT('',(0.8833,-1.97761713887335,0.53497781130571)); -#2544=CARTESIAN_POINT('',(0.8833,-1.89385510213921,0.69937006456271)); -#2545=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887322,0.53497781130571)); -#2546=CARTESIAN_POINT('',(0.8833,-1.81920637509813,0.596624906277174)); -#2547=CARTESIAN_POINT('',(0.8833,-1.89385510213921,0.69937006456271)); -#2548=CARTESIAN_POINT('Origin',(0.8833,-1.77441713887312,0.53497781130571)); -#2549=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); -#2550=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.802028834793654)); -#2551=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.802028834793654)); -#2552=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); -#2553=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); -#2554=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); -#2555=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); -#2556=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.137742573184684)); -#2557=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.0107425731846846)); -#2558=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.137742573184684)); -#2559=CARTESIAN_POINT('',(1.6961,-1.8506171388733,0.213942573184685)); -#2560=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887329,0.213942573184685)); -#2561=CARTESIAN_POINT('',(1.6961,-1.9776171388734,0.213942573184684)); -#2562=CARTESIAN_POINT('',(1.6961,-1.8506171388733,0.213942573184685)); -#2563=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887417,0.213942573184685)); -#2564=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); -#2565=CARTESIAN_POINT('',(1.6961,-1.85061713887335,0.534977811305752)); -#2566=CARTESIAN_POINT('',(1.6961,-1.85061713887335,0.53497781130571)); -#2567=CARTESIAN_POINT('',(1.6961,-1.97761713887335,0.53497781130571)); -#2568=CARTESIAN_POINT('',(1.6961,-1.85061713887335,0.53497781130571)); -#2569=CARTESIAN_POINT('',(1.6961,-1.9776171388734,0.213942573184684)); -#2570=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); -#2571=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); -#2572=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887312,0.53497781130571)); -#2573=CARTESIAN_POINT('',(1.6961,-1.89385510213918,0.699370064562728)); -#2574=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); -#2575=CARTESIAN_POINT('Origin',(1.6961,-1.77441713887322,0.53497781130571)); -#2576=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); -#2577=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.645048201651155)); -#2578=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); -#2579=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); -#2580=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); -#2581=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); -#2582=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); -#2583=CARTESIAN_POINT('',(-1.6567,-1.97761713887337,0.213942573184684)); -#2584=CARTESIAN_POINT('',(-1.6567,-1.85061713887329,0.213942573184685)); -#2585=CARTESIAN_POINT('',(-1.6567,-1.97761713887337,0.213942573184684)); -#2586=CARTESIAN_POINT('',(-1.6567,-1.97761713887335,0.534977811305706)); -#2587=CARTESIAN_POINT('',(-1.6567,-1.97761713887335,0.53497781130571)); -#2588=CARTESIAN_POINT('',(-1.6567,-1.85061713887335,0.53497781130571)); -#2589=CARTESIAN_POINT('',(-1.6567,-1.97761713887335,0.53497781130571)); -#2590=CARTESIAN_POINT('',(-1.6567,-1.85061713887327,0.213942573184685)); -#2591=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); -#2592=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); -#2593=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887322,0.53497781130571)); -#2594=CARTESIAN_POINT('',(-1.6567,-1.81920637509813,0.596624906276958)); -#2595=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); -#2596=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887312,0.53497781130571)); -#2597=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); -#2598=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.137742573184684)); -#2599=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887329,0.213942573184685)); -#2600=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.0107425731846599)); -#2601=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.0107425731846846)); -#2602=CARTESIAN_POINT('Origin',(-1.6567,-1.77441713887417,0.213942573184685)); -#2603=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); -#2604=CARTESIAN_POINT('',(-1.6567,-1.75255742681531,0.802028834793654)); -#2605=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); -#2606=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); -#2607=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); -#2608=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); -#2609=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); -#2610=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.0107425731846846)); -#2611=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.137742573184684)); -#2612=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.0107425731846846)); -#2613=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); -#2614=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); -#2615=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.137742573184684)); -#2616=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); -#2617=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.137742573184684)); -#2618=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); -#2619=CARTESIAN_POINT('',(-0.8439,-1.8506171388733,0.213942573184685)); -#2620=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887329,0.213942573184685)); -#2621=CARTESIAN_POINT('',(-0.8439,-1.9776171388734,0.213942573184684)); -#2622=CARTESIAN_POINT('',(-0.8439,-1.9776171388734,0.213942573184684)); -#2623=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887417,0.213942573184685)); -#2624=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); -#2625=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.0107425731846842)); -#2626=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.0107425731846845)); -#2627=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.137742573184684)); -#2628=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.137742573184684)); -#2629=CARTESIAN_POINT('',(-0.8439,-1.5919254611266,0.137742573184684)); -#2630=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); -#2631=CARTESIAN_POINT('',(-0.8439,-1.85061713887335,0.534977811305751)); -#2632=CARTESIAN_POINT('',(-0.8439,-1.97761713887335,0.53497781130571)); -#2633=CARTESIAN_POINT('',(-0.8439,-1.85061713887335,0.53497781130571)); -#2634=CARTESIAN_POINT('',(-0.8439,-1.8192063750981,0.596624906277213)); -#2635=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887312,0.53497781130571)); -#2636=CARTESIAN_POINT('',(-0.8439,-1.89385510213919,0.699370064562764)); -#2637=CARTESIAN_POINT('',(-0.8439,-1.8192063750981,0.596624906277213)); -#2638=CARTESIAN_POINT('Origin',(-0.8439,-1.77441713887322,0.53497781130571)); -#2639=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); -#2640=CARTESIAN_POINT('',(-0.8439,-1.75255742681532,0.645048201651155)); -#2641=CARTESIAN_POINT('',(-0.8439,-1.75255742681532,0.645048201651155)); -#2642=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); -#2643=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); -#2644=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); -#2645=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887417,0.213942573184685)); -#2646=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.0107425731846846)); -#2647=CARTESIAN_POINT('',(0.8833,-1.97761713887337,0.213942573184684)); -#2648=CARTESIAN_POINT('Origin',(1.2897,-1.34130000000009,0.0107425731846844)); -#2649=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.0107425731846842)); -#2650=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.0107425731846842)); -#2651=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.0107425731846842)); -#2652=CARTESIAN_POINT('Origin',(1.2897,-1.34130000000009,0.137742573184684)); -#2653=CARTESIAN_POINT('',(0.8833,-1.77441713887335,0.137742573184684)); -#2654=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.137742573184684)); -#2655=CARTESIAN_POINT('',(1.6961,-1.77441713887335,0.137742573184684)); -#2656=CARTESIAN_POINT('',(0.8833,-0.908182861126625,0.137742573184684)); -#2657=CARTESIAN_POINT('Origin',(1.2897,-0.908182861126625,0.0742425731846839)); -#2658=CARTESIAN_POINT('',(1.6961,-0.908182861126625,0.137742573184684)); -#2659=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887329,0.213942573184685)); -#2660=CARTESIAN_POINT('',(1.6961,-1.8506171388733,0.213942573184685)); -#2661=CARTESIAN_POINT('Origin',(1.2897,-1.97761713887335,0.374460192245324)); -#2662=CARTESIAN_POINT('',(1.45077762689643,-1.97761713887335,0.53497781130571)); -#2663=CARTESIAN_POINT('',(1.6961,-1.97761713887335,0.53497781130571)); -#2664=CARTESIAN_POINT('',(1.12862237310357,-1.97761713887335,0.53497781130571)); -#2665=CARTESIAN_POINT('Origin',(1.2897,-1.97761713887335,0.610256215336612)); -#2666=CARTESIAN_POINT('',(1.12862237310357,-1.97761713887335,0.53497781130571)); -#2667=CARTESIAN_POINT('',(0.8833,-1.97761713887337,0.213942573184684)); -#2668=CARTESIAN_POINT('Origin',(1.2897,-1.85061713887335,0.374460192245324)); -#2669=CARTESIAN_POINT('',(0.8833,-1.85061713887335,0.53497781130571)); -#2670=CARTESIAN_POINT('',(1.12862237310357,-1.85061713887335,0.53497781130571)); -#2671=CARTESIAN_POINT('',(0.8833,-1.85061713887335,0.53497781130571)); -#2672=CARTESIAN_POINT('',(1.45077762689643,-1.85061713887335,0.53497781130571)); -#2673=CARTESIAN_POINT('Origin',(1.2897,-1.85061713887335,0.610256215336613)); -#2674=CARTESIAN_POINT('',(1.45077762689643,-1.85061713887335,0.53497781130571)); -#2675=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887312,0.53497781130571)); -#2676=CARTESIAN_POINT('',(1.4675,-1.83528691039211,0.580817869281748)); -#2677=CARTESIAN_POINT('Ctrl Pts',(1.4674999999999,-1.83528691039218,0.580817869281804)); -#2678=CARTESIAN_POINT('Ctrl Pts',(1.46749999999986,-1.83775897047936,0.577535287641832)); -#2679=CARTESIAN_POINT('Ctrl Pts',(1.46716142265302,-1.8399475816631,0.5740756334841)); -#2680=CARTESIAN_POINT('Ctrl Pts',(1.46582213007869,-1.84375650477271,0.566844056481721)); -#2681=CARTESIAN_POINT('Ctrl Pts',(1.46481919138464,-1.84534470149949,0.563110514155449)); -#2682=CARTESIAN_POINT('Ctrl Pts',(1.462084415834,-1.84800548564382,0.555229621371978)); -#2683=CARTESIAN_POINT('Ctrl Pts',(1.46030488817754,-1.84900000607034,0.55111706900112)); -#2684=CARTESIAN_POINT('Ctrl Pts',(1.45604056878408,-1.85032176447534,0.54290718951096)); -#2685=CARTESIAN_POINT('Ctrl Pts',(1.45355009920341,-1.85061713887335,0.538853902863177)); -#2686=CARTESIAN_POINT('Ctrl Pts',(1.45077762689657,-1.85061713887335,0.534977811305604)); -#2687=CARTESIAN_POINT('',(1.46409329392569,-1.81920637509813,0.596624906277213)); -#2688=CARTESIAN_POINT('Ctrl Pts',(1.46409329392559,-1.81920637509811,0.59662490627714)); -#2689=CARTESIAN_POINT('Ctrl Pts',(1.46521498460559,-1.82219701402636,0.594452079909846)); -#2690=CARTESIAN_POINT('Ctrl Pts',(1.46607149691714,-1.82507936978297,0.592024828605427)); -#2691=CARTESIAN_POINT('Ctrl Pts',(1.46721295594855,-1.83049045345921,0.586711703515785)); -#2692=CARTESIAN_POINT('Ctrl Pts',(1.46750022332458,-1.83299677146113,0.583858882745466)); -#2693=CARTESIAN_POINT('Ctrl Pts',(1.46749999999976,-1.83528691039218,0.580817869281804)); -#2694=CARTESIAN_POINT('',(1.6961,-1.8192063750981,0.596624906277213)); -#2695=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887322,0.53497781130571)); -#2696=CARTESIAN_POINT('',(1.4640933420347,-1.89385510772357,0.699370060505361)); -#2697=CARTESIAN_POINT('',(1.4640934343374,-1.89385510213916,0.69937006456271)); -#2698=CARTESIAN_POINT('',(1.4675000318515,-1.93673672615384,0.657218114363483)); -#2699=CARTESIAN_POINT('Ctrl Pts',(1.46749999999987,-1.9367365293796,0.657217966188168)); -#2700=CARTESIAN_POINT('Ctrl Pts',(1.46750309552904,-1.9306688248712,0.665275106646836)); -#2701=CARTESIAN_POINT('Ctrl Pts',(1.46721656216776,-1.92401259340339,0.672864908018015)); -#2702=CARTESIAN_POINT('Ctrl Pts',(1.46607578381892,-1.90964635043123,0.686980123831027)); -#2703=CARTESIAN_POINT('Ctrl Pts',(1.4652236622646,-1.90196389138766,0.693478683580883)); -#2704=CARTESIAN_POINT('Ctrl Pts',(1.4640932952984,-1.89385511198778,0.699370057407333)); -#2705=CARTESIAN_POINT('Ctrl Pts',(1.45077762689661,-1.97761713887335,0.53497781130566)); -#2706=CARTESIAN_POINT('Ctrl Pts',(1.45332977791454,-1.97761713887335,0.544492660551524)); -#2707=CARTESIAN_POINT('Ctrl Pts',(1.45555422282574,-1.97694663290019,0.554052847460742)); -#2708=CARTESIAN_POINT('Ctrl Pts',(1.45748734816703,-1.97559632680792,0.563568362952935)); -#2709=CARTESIAN_POINT('Ctrl Pts',(1.45847237020026,-1.97490827972474,0.568416984103971)); -#2710=CARTESIAN_POINT('Ctrl Pts',(1.45938145104245,-1.97404305244094,0.573254545466162)); -#2711=CARTESIAN_POINT('Ctrl Pts',(1.46021749142032,-1.97299510981541,0.578071076322041)); -#2712=CARTESIAN_POINT('Ctrl Pts',(1.46137568268724,-1.97154336414705,0.584743557845486)); -#2713=CARTESIAN_POINT('Ctrl Pts',(1.46239286130754,-1.96975484808545,0.591375817973396)); -#2714=CARTESIAN_POINT('Ctrl Pts',(1.4632690670624,-1.9676274174792,0.597907270519234)); -#2715=CARTESIAN_POINT('Ctrl Pts',(1.46426662295033,-1.96520534832977,0.60534329671245)); -#2716=CARTESIAN_POINT('Ctrl Pts',(1.4650814164734,-1.96234417957993,0.612648367485869)); -#2717=CARTESIAN_POINT('Ctrl Pts',(1.4657192656134,-1.95909470782119,0.619738860834415)); -#2718=CARTESIAN_POINT('Ctrl Pts',(1.46653636086945,-1.95493208096761,0.628821899620177)); -#2719=CARTESIAN_POINT('Ctrl Pts',(1.46706563897802,-1.95010846029841,0.637550698807756)); -#2720=CARTESIAN_POINT('Ctrl Pts',(1.46732129766744,-1.94469440172221,0.645864464509783)); -#2721=CARTESIAN_POINT('Ctrl Pts',(1.46744051093345,-1.94216983427366,0.649741161071308)); -#2722=CARTESIAN_POINT('Ctrl Pts',(1.46750000000298,-1.93951616972784,0.653527777029254)); -#2723=CARTESIAN_POINT('Ctrl Pts',(1.46750000000004,-1.93673692342043,0.657218262922108)); -#2724=CARTESIAN_POINT('Ctrl Pts',(1.46734665564324,-1.9361699363762,0.673627571512113)); -#2725=CARTESIAN_POINT('Ctrl Pts',(1.46734588601435,-1.8990400602186,0.641804818232676)); -#2726=CARTESIAN_POINT('Ctrl Pts',(1.46734511638546,-1.861910184061,0.609982064953241)); -#2727=CARTESIAN_POINT('Ctrl Pts',(1.46734434675657,-1.8247803079034,0.578159311673805)); -#2728=CARTESIAN_POINT('Ctrl Pts',(1.46744895635279,-1.9390973527498,0.670229747508942)); -#2729=CARTESIAN_POINT('Ctrl Pts',(1.46744872859429,-1.90129871208056,0.639186809982546)); -#2730=CARTESIAN_POINT('Ctrl Pts',(1.46744850083579,-1.86350007141132,0.608143872455897)); -#2731=CARTESIAN_POINT('Ctrl Pts',(1.46744827307755,-1.82570143074205,0.577100934929247)); -#2732=CARTESIAN_POINT('Ctrl Pts',(1.46776925575714,-1.95676358479225,0.648285831163182)); -#2733=CARTESIAN_POINT('Ctrl Pts',(1.46777045718476,-1.91491846681575,0.622279315749343)); -#2734=CARTESIAN_POINT('Ctrl Pts',(1.46777165861264,-1.87307334883922,0.596272800335756)); -#2735=CARTESIAN_POINT('Ctrl Pts',(1.46777286004026,-1.8312282308627,0.570266284921917)); -#2736=CARTESIAN_POINT('Ctrl Pts',(1.46465934630108,-1.98085522331817,0.60158941708147)); -#2737=CARTESIAN_POINT('Ctrl Pts',(1.46464667121315,-1.93340032952147,0.586301950459106)); -#2738=CARTESIAN_POINT('Ctrl Pts',(1.46463399612521,-1.88594543572477,0.571014483836743)); -#2739=CARTESIAN_POINT('Ctrl Pts',(1.46462132103702,-1.83849054192807,0.555727017214378)); -#2740=CARTESIAN_POINT('Ctrl Pts',(1.4554556385066,-1.98811211001705,0.552077617318882)); -#2741=CARTESIAN_POINT('Ctrl Pts',(1.45545685677671,-1.93907199111249,0.548152763061927)); -#2742=CARTESIAN_POINT('Ctrl Pts',(1.45545807504707,-1.89003187220794,0.544227908804971)); -#2743=CARTESIAN_POINT('Ctrl Pts',(1.45545929331717,-1.84099175330336,0.540303054547761)); -#2744=CARTESIAN_POINT('Ctrl Pts',(1.44862128584132,-1.98735345031989,0.526776703676462)); -#2745=CARTESIAN_POINT('Ctrl Pts',(1.44862105165231,-1.93847905625714,0.528659040051229)); -#2746=CARTESIAN_POINT('Ctrl Pts',(1.44862081746355,-1.88960466219439,0.530541376425995)); -#2747=CARTESIAN_POINT('Ctrl Pts',(1.44862058327453,-1.84073026813164,0.532423712800761)); -#2748=CARTESIAN_POINT('Ctrl Pts',(1.44747251976523,-1.98711261129728,0.522752141638226)); -#2749=CARTESIAN_POINT('Ctrl Pts',(1.44747172716204,-1.93829026410635,0.525558246279753)); -#2750=CARTESIAN_POINT('Ctrl Pts',(1.44747093455885,-1.88946791691543,0.528364350921025)); -#2751=CARTESIAN_POINT('Ctrl Pts',(1.44747014195566,-1.8406455697245,0.53117045556255)); -#2752=CARTESIAN_POINT('',(1.45077762689641,-1.89104249441663,0.534977811305682)); -#2753=CARTESIAN_POINT('',(1.45077762689643,-1.97761713887335,0.53497781130571)); -#2754=CARTESIAN_POINT('',(1.4675,-1.83528691039211,0.580817869281748)); -#2755=CARTESIAN_POINT('',(1.45077762689643,-1.97761713887335,0.53497781130571)); -#2756=CARTESIAN_POINT('Origin',(1.2897,-1.91411713887335,0.610256215336613)); -#2757=CARTESIAN_POINT('',(1.12862237310356,-1.89104249441959,0.53497781130572)); -#2758=CARTESIAN_POINT('',(1.12862237310357,-1.85061713887335,0.53497781130571)); -#2759=CARTESIAN_POINT('',(1.12862237310357,-1.85061713887335,0.53497781130571)); -#2760=CARTESIAN_POINT('Ctrl Pts',(1.1318449111736,-1.98712973344175,0.523041789885192)); -#2761=CARTESIAN_POINT('Ctrl Pts',(1.13184566415888,-1.93830368566099,0.525781410854758)); -#2762=CARTESIAN_POINT('Ctrl Pts',(1.13184641714417,-1.88947763788026,0.528521031824325)); -#2763=CARTESIAN_POINT('Ctrl Pts',(1.13184717012971,-1.84065159009951,0.531260652793891)); -#2764=CARTESIAN_POINT('Ctrl Pts',(1.13072588736796,-1.98735924626469,0.52697239511947)); -#2765=CARTESIAN_POINT('Ctrl Pts',(1.13072611045896,-1.9384835862112,0.52880981543751)); -#2766=CARTESIAN_POINT('Ctrl Pts',(1.1307263335497,-1.88960792615774,0.53064723575555)); -#2767=CARTESIAN_POINT('Ctrl Pts',(1.13072655664069,-1.84073226610425,0.532484656073337)); -#2768=CARTESIAN_POINT('Ctrl Pts',(1.12392500670305,-1.98809684838948,0.552181737297332)); -#2769=CARTESIAN_POINT('Ctrl Pts',(1.12392381764955,-1.93906006339992,0.548232988239551)); -#2770=CARTESIAN_POINT('Ctrl Pts',(1.1239226285963,-1.89002327841037,0.544284239181514)); -#2771=CARTESIAN_POINT('Ctrl Pts',(1.12392143954305,-1.84098649342081,0.540335490123732)); -#2772=CARTESIAN_POINT('Ctrl Pts',(1.11474065369892,-1.98085522247281,0.601589416809182)); -#2773=CARTESIAN_POINT('Ctrl Pts',(1.11475332878685,-1.93340032895787,0.586301950277497)); -#2774=CARTESIAN_POINT('Ctrl Pts',(1.11476600387504,-1.88594543544295,0.571014483746064)); -#2775=CARTESIAN_POINT('Ctrl Pts',(1.11477867896298,-1.83849054192801,0.555727017214378)); -#2776=CARTESIAN_POINT('Ctrl Pts',(1.11163069196229,-1.95676317904394,0.648286615710254)); -#2777=CARTESIAN_POINT('Ctrl Pts',(1.11162949030124,-1.91491815562145,0.622279920253594)); -#2778=CARTESIAN_POINT('Ctrl Pts',(1.1116282886402,-1.87307313219894,0.596273224797188)); -#2779=CARTESIAN_POINT('Ctrl Pts',(1.11162708697915,-1.83122810877646,0.570266529340528)); -#2780=CARTESIAN_POINT('Ctrl Pts',(1.11195106347115,-1.93909625878547,0.670231104966731)); -#2781=CARTESIAN_POINT('Ctrl Pts',(1.11195129131804,-1.90129786876058,0.639187855942862)); -#2782=CARTESIAN_POINT('Ctrl Pts',(1.11195151916493,-1.86349947873569,0.608144606918995)); -#2783=CARTESIAN_POINT('Ctrl Pts',(1.11195174701182,-1.82570108871081,0.577101357895127)); -#2784=CARTESIAN_POINT('Ctrl Pts',(1.11205340395253,-1.93616823048258,0.673629550165763)); -#2785=CARTESIAN_POINT('Ctrl Pts',(1.11205417389714,-1.89903874410338,0.641806342835418)); -#2786=CARTESIAN_POINT('Ctrl Pts',(1.11205494384175,-1.86190925772417,0.609983135505074)); -#2787=CARTESIAN_POINT('Ctrl Pts',(1.11205571378636,-1.82477977134496,0.578159928174731)); -#2788=CARTESIAN_POINT('',(1.1119,-1.83528691039214,0.580817869281748)); -#2789=CARTESIAN_POINT('Ctrl Pts',(1.12862237310354,-1.85061713887335,0.534977811305684)); -#2790=CARTESIAN_POINT('Ctrl Pts',(1.12583871018308,-1.85061713887335,0.538869548048482)); -#2791=CARTESIAN_POINT('Ctrl Pts',(1.12333938382088,-1.85031937610539,0.542939840845393)); -#2792=CARTESIAN_POINT('Ctrl Pts',(1.11906335879777,-1.84898643565605,0.551183995438567)); -#2793=CARTESIAN_POINT('Ctrl Pts',(1.11728090515558,-1.8479835266345,0.555313284847808)); -#2794=CARTESIAN_POINT('Ctrl Pts',(1.11455745333249,-1.84531105910867,0.563192898501807)); -#2795=CARTESIAN_POINT('Ctrl Pts',(1.11356323603592,-1.84372569200076,0.566908673625698)); -#2796=CARTESIAN_POINT('Ctrl Pts',(1.11223560110995,-1.83992833894036,0.574106066609469)); -#2797=CARTESIAN_POINT('Ctrl Pts',(1.11190000000003,-1.83774806632453,0.577549766973709)); -#2798=CARTESIAN_POINT('Ctrl Pts',(1.1119000000001,-1.83528691039219,0.580817869281792)); -#2799=CARTESIAN_POINT('',(1.11190003136854,-1.93673652956793,0.657217965938103)); -#2800=CARTESIAN_POINT('',(1.11190009410573,-1.93673652956219,0.657217965945817)); -#2801=CARTESIAN_POINT('Ctrl Pts',(1.11189999999997,-1.93673652956797,0.657217965938135)); -#2802=CARTESIAN_POINT('Ctrl Pts',(1.11189999999921,-1.94269645701764,0.649303939698401)); -#2803=CARTESIAN_POINT('Ctrl Pts',(1.11217251405546,-1.94808196911834,0.640948363324734)); -#2804=CARTESIAN_POINT('Ctrl Pts',(1.1127241243353,-1.95284551126776,0.632210054617997)); -#2805=CARTESIAN_POINT('Ctrl Pts',(1.11298148662609,-1.95506801538829,0.628133061805079)); -#2806=CARTESIAN_POINT('Ctrl Pts',(1.11329983280206,-1.9571544430882,0.623972711013481)); -#2807=CARTESIAN_POINT('Ctrl Pts',(1.1136810383604,-1.95909625819838,0.619735482785907)); -#2808=CARTESIAN_POINT('Ctrl Pts',(1.11431885645326,-1.96234522659135,0.612645919771885)); -#2809=CARTESIAN_POINT('Ctrl Pts',(1.11513355648559,-1.96520585761062,0.605341985530365)); -#2810=CARTESIAN_POINT('Ctrl Pts',(1.11613093292943,-1.96762747644914,0.597907289709352)); -#2811=CARTESIAN_POINT('Ctrl Pts',(1.11700730102232,-1.96975528836644,0.591374620687388)); -#2812=CARTESIAN_POINT('Ctrl Pts',(1.11802467873384,-1.97154400637841,0.584741219181867)); -#2813=CARTESIAN_POINT('Ctrl Pts',(1.11918309398473,-1.97299584174118,0.578067703405312)); -#2814=CARTESIAN_POINT('Ctrl Pts',(1.1208240539454,-1.97505244769312,0.568614295244602)); -#2815=CARTESIAN_POINT('Ctrl Pts',(1.1227451522097,-1.97640786779938,0.559079282428666)); -#2816=CARTESIAN_POINT('Ctrl Pts',(1.12497300464824,-1.97709334688735,0.54954127087701)); -#2817=CARTESIAN_POINT('Ctrl Pts',(1.12610776241321,-1.97744249600707,0.544683079875023)); -#2818=CARTESIAN_POINT('Ctrl Pts',(1.12732241239122,-1.97761713887335,0.539824283992918)); -#2819=CARTESIAN_POINT('Ctrl Pts',(1.12862237310343,-1.97761713887335,0.534977811305673)); -#2820=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887322,0.53497781130571)); -#2821=CARTESIAN_POINT('',(1.11530675328879,-1.89385509665861,0.699370068544532)); -#2822=CARTESIAN_POINT('Ctrl Pts',(1.11530670742188,-1.89385509247385,0.699370071585036)); -#2823=CARTESIAN_POINT('Ctrl Pts',(1.11417634827829,-1.90196387030828,0.69347870036658)); -#2824=CARTESIAN_POINT('Ctrl Pts',(1.11332422834968,-1.909646328141,0.686980143421972)); -#2825=CARTESIAN_POINT('Ctrl Pts',(1.11218344597004,-1.92401257876977,0.672864924705827)); -#2826=CARTESIAN_POINT('Ctrl Pts',(1.11189690782198,-1.93066881828368,0.665275115420848)); -#2827=CARTESIAN_POINT('Ctrl Pts',(1.11189999999992,-1.93673652957371,0.657217965930416)); -#2828=CARTESIAN_POINT('',(0.8833,-1.89385510213921,0.69937006456271)); -#2829=CARTESIAN_POINT('Origin',(1.2897,-1.77441713887312,0.53497781130571)); -#2830=CARTESIAN_POINT('',(1.11530670607431,-1.81920637509815,0.596624906276958)); -#2831=CARTESIAN_POINT('',(1.11530670607431,-1.81920637509813,0.596624906277213)); -#2832=CARTESIAN_POINT('Ctrl Pts',(1.11189999999996,-1.83528691039219,0.580817869281792)); -#2833=CARTESIAN_POINT('Ctrl Pts',(1.11189977691747,-1.83299677154719,0.58385888263119)); -#2834=CARTESIAN_POINT('Ctrl Pts',(1.11218704457715,-1.83049045365137,0.586711703294685)); -#2835=CARTESIAN_POINT('Ctrl Pts',(1.11332850370392,-1.82507937010185,0.5920248283252)); -#2836=CARTESIAN_POINT('Ctrl Pts',(1.11418501588534,-1.82219701414984,0.594452079820125)); -#2837=CARTESIAN_POINT('Ctrl Pts',(1.11530670607435,-1.81920637509823,0.596624906277052)); -#2838=CARTESIAN_POINT('Ctrl Pts',(1.11211838768972,-1.95363126897922,0.650267016194963)); -#2839=CARTESIAN_POINT('Ctrl Pts',(1.11211606356178,-1.91249759011649,0.623796465728245)); -#2840=CARTESIAN_POINT('Ctrl Pts',(1.11211373943358,-1.87136391125379,0.597325915261781)); -#2841=CARTESIAN_POINT('Ctrl Pts',(1.11211141530564,-1.83023023239108,0.570855364795063)); -#2842=CARTESIAN_POINT('Ctrl Pts',(1.11197475226756,-1.95078476046877,0.654668499302825)); -#2843=CARTESIAN_POINT('Ctrl Pts',(1.11197328836092,-1.91030557301384,0.627191359695365)); -#2844=CARTESIAN_POINT('Ctrl Pts',(1.11197182445401,-1.86982638555889,0.599714220087904)); -#2845=CARTESIAN_POINT('Ctrl Pts',(1.11197036054737,-1.82934719810394,0.572237080480699)); -#2846=CARTESIAN_POINT('Ctrl Pts',(1.11160699974526,-1.93565532711934,0.676297044201351)); -#2847=CARTESIAN_POINT('Ctrl Pts',(1.11161014342528,-1.89864731157575,0.643870633663903)); -#2848=CARTESIAN_POINT('Ctrl Pts',(1.1116132871053,-1.86163929603214,0.611444223126454)); -#2849=CARTESIAN_POINT('Ctrl Pts',(1.11161643078533,-1.82463128048855,0.57901781258926)); -#2850=CARTESIAN_POINT('Ctrl Pts',(1.11332566896933,-1.9129714209292,0.69859524604885)); -#2851=CARTESIAN_POINT('Ctrl Pts',(1.11332319885057,-1.88115243792798,0.661054977516381)); -#2852=CARTESIAN_POINT('Ctrl Pts',(1.11332072873207,-1.84933345492678,0.623514708984164)); -#2853=CARTESIAN_POINT('Ctrl Pts',(1.11331825861331,-1.81751447192558,0.585974440451695)); -#2854=CARTESIAN_POINT('Ctrl Pts',(1.11645973067859,-1.89136246929289,0.713157167851034)); -#2855=CARTESIAN_POINT('Ctrl Pts',(1.11646079295815,-1.8645296683033,0.672253967583684)); -#2856=CARTESIAN_POINT('Ctrl Pts',(1.11646185523797,-1.83769686731372,0.631350767316081)); -#2857=CARTESIAN_POINT('Ctrl Pts',(1.11646291751753,-1.8108640663241,0.59044756704873)); -#2858=CARTESIAN_POINT('Ctrl Pts',(1.11713008767823,-1.88707747224979,0.715826626501947)); -#2859=CARTESIAN_POINT('Ctrl Pts',(1.11713284816852,-1.86123504463762,0.67430533610324)); -#2860=CARTESIAN_POINT('Ctrl Pts',(1.11713560865881,-1.83539261702545,0.632784045704534)); -#2861=CARTESIAN_POINT('Ctrl Pts',(1.11713836914884,-1.80955018941328,0.591262755306083)); -#2862=CARTESIAN_POINT('',(1.11530674914034,-1.84253597253531,0.628735342401558)); -#2863=CARTESIAN_POINT('',(1.11530684387464,-1.89385510213921,0.69937006456271)); -#2864=CARTESIAN_POINT('',(1.11530684387464,-1.89385510213921,0.69937006456271)); -#2865=CARTESIAN_POINT('Origin',(1.2897,-1.88455379613736,0.62763754236764)); -#2866=CARTESIAN_POINT('',(1.15583843931472,-1.75255742681531,0.645048201651155)); -#2867=CARTESIAN_POINT('',(1.42356156068528,-1.75255742681531,0.645048201651155)); -#2868=CARTESIAN_POINT('Origin',(1.2897,-1.75255742681531,0.445960126064954)); -#2869=CARTESIAN_POINT('Origin',(1.2897,-1.8472294326169,0.576264963225019)); -#2870=CARTESIAN_POINT('',(1.46409333780818,-1.84253614084309,0.628735574057438)); -#2871=CARTESIAN_POINT('',(1.46409329392569,-1.81920637509813,0.596624906277213)); -#2872=CARTESIAN_POINT('',(1.46409329392569,-1.81920637509813,0.596624906277213)); -#2873=CARTESIAN_POINT('Origin',(1.2897,-1.92187815965796,0.679010121510517)); -#2874=CARTESIAN_POINT('Origin',(1.2897,-1.8472294326169,0.576264963225019)); -#2875=CARTESIAN_POINT('Origin',(0.0196999999999997,-1.75255742681531,2.75394257318468)); -#2876=CARTESIAN_POINT('',(-2.3933,-1.75255742681532,0.213942573184685)); -#2877=CARTESIAN_POINT('',(2.4327,-1.75255742681531,0.213942573184685)); -#2878=CARTESIAN_POINT('',(-2.3933,-1.75255742681532,0.213942573184685)); -#2879=CARTESIAN_POINT('',(2.4327,-1.75255742681531,5.29394257318469)); -#2880=CARTESIAN_POINT('',(2.4327,-1.75255742681531,5.29394257318469)); -#2881=CARTESIAN_POINT('',(-2.3933,-1.75255742681531,5.29394257318469)); -#2882=CARTESIAN_POINT('',(-2.3933,-1.75255742681531,5.29394257318469)); -#2883=CARTESIAN_POINT('',(-2.3933,-1.75255742681531,5.29394257318469)); -#2884=CARTESIAN_POINT('',(1.6961,-1.75255742681531,0.802028834793654)); -#2885=CARTESIAN_POINT('',(1.42356156068528,-1.75255742681531,0.645048201651408)); -#2886=CARTESIAN_POINT('',(0.8833,-1.75255742681531,0.645048201651155)); -#2887=CARTESIAN_POINT('',(-0.8439,-1.75255742681531,0.802028834793654)); -#2888=CARTESIAN_POINT('',(-1.11643843931472,-1.75255742681532,0.645048201651155)); -#2889=CARTESIAN_POINT('',(-1.11643843931472,-1.75255742681532,0.645048201651408)); -#2890=CARTESIAN_POINT('',(-1.38416156068528,-1.75255742681532,0.645048201651408)); -#2891=CARTESIAN_POINT('Origin',(-1.2503,-1.75255742681532,0.445960126064954)); -#2892=CARTESIAN_POINT('',(-1.6567,-1.75255742681532,0.645048201651155)); -#2893=CARTESIAN_POINT('Origin',(0.0196999999999992,0.0127425731846859,0.213942573184684)); -#2894=CARTESIAN_POINT('',(-2.3933,1.77804257318468,0.213942573184684)); -#2895=CARTESIAN_POINT('',(2.4327,1.77804257318469,0.213942573184684)); -#2896=CARTESIAN_POINT('',(-2.3933,1.77804257318468,0.213942573184684)); -#2897=CARTESIAN_POINT('',(2.4327,-1.75255742681531,0.213942573184685)); -#2898=CARTESIAN_POINT('',(-2.3933,1.77804257318468,0.213942573184684)); -#2899=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77804257318469,2.75394257318468)); -#2900=CARTESIAN_POINT('',(-2.3933,1.77804257318469,5.29394257318468)); -#2901=CARTESIAN_POINT('',(-2.3933,1.77804257318469,5.29394257318468)); -#2902=CARTESIAN_POINT('',(2.4327,1.77804257318469,5.29394257318468)); -#2903=CARTESIAN_POINT('',(2.4327,1.77804257318469,5.29394257318468)); -#2904=CARTESIAN_POINT('',(2.4327,1.77804257318469,0.213942573184684)); -#2905=CARTESIAN_POINT('',(0.301556914327028,1.77805178010505,0.70921031080281)); -#2906=CARTESIAN_POINT('',(0.302469463427897,1.77804257272811,0.58224257321825)); -#2907=CARTESIAN_POINT('Ctrl Pts',(0.301554761209689,1.77804257318469,0.709210304835975)); -#2908=CARTESIAN_POINT('Ctrl Pts',(0.301611956721688,1.77804257318469,0.688571446676627)); -#2909=CARTESIAN_POINT('Ctrl Pts',(0.301694427738886,1.77804257323418,0.665010105946675)); -#2910=CARTESIAN_POINT('Ctrl Pts',(0.301923199230015,1.77804257323418,0.626431838787887)); -#2911=CARTESIAN_POINT('Ctrl Pts',(0.30210973366413,1.77804257318469,0.601466320893973)); -#2912=CARTESIAN_POINT('Ctrl Pts',(0.302469464099654,1.77804257318469,0.582242573230813)); -#2913=CARTESIAN_POINT('',(-0.263069463414501,1.77804257271975,0.582242573218864)); -#2914=CARTESIAN_POINT('',(0.0197001144537962,1.77804257318469,0.582242573184683)); -#2915=CARTESIAN_POINT('',(-0.262156000953228,1.77804787539649,0.709210416991327)); -#2916=CARTESIAN_POINT('Ctrl Pts',(-0.263069464098558,1.77804257318469,0.582242573231664)); -#2917=CARTESIAN_POINT('Ctrl Pts',(-0.262706953061755,1.77804257318469,0.601614914333065)); -#2918=CARTESIAN_POINT('Ctrl Pts',(-0.262519623813836,1.77804257322631,0.626889273698389)); -#2919=CARTESIAN_POINT('Ctrl Pts',(-0.262292999198951,1.77804257322631,0.66542865154669)); -#2920=CARTESIAN_POINT('Ctrl Pts',(-0.262211510203278,1.77804257318469,0.688732549990208)); -#2921=CARTESIAN_POINT('Ctrl Pts',(-0.262154760907952,1.77804257318469,0.70921041355485)); -#2922=CARTESIAN_POINT('',(-0.262259156427888,1.77804257318469,0.709210560312972)); -#2923=CARTESIAN_POINT('',(-0.488300000000001,1.77804257318468,0.582157164385982)); -#2924=CARTESIAN_POINT('',(-0.615300000000001,1.77804257318468,0.582157164385982)); -#2925=CARTESIAN_POINT('',(-0.488300000000001,1.77804257318468,0.226642573184684)); -#2926=CARTESIAN_POINT('',(-0.488300000000001,1.77804257318468,0.226642573184684)); -#2927=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.226642573184684)); -#2928=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.226642573184684)); -#2929=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.582157164385982)); -#2930=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.582157164385982)); -#2931=CARTESIAN_POINT('',(0.654699999999999,1.77804257318469,0.582157164385982)); -#2932=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.582157164385982)); -#2933=CARTESIAN_POINT('',(0.654699999999999,1.77804257318469,0.709210560312972)); -#2934=CARTESIAN_POINT('',(0.654699999999999,1.77804257318469,0.709210560312972)); -#2935=CARTESIAN_POINT('',(0.0196999999999992,1.77804257318469,0.709210560312895)); -#2936=CARTESIAN_POINT('Origin',(2.4327,0.0127425731846859,2.75394257318468)); -#2937=CARTESIAN_POINT('',(2.4327,1.77804257318469,5.29394257318468)); -#2938=CARTESIAN_POINT('Origin',(0.0196999999999992,0.012742573184687,5.29394257318468)); -#2939=CARTESIAN_POINT('',(-2.3933,1.77804257318469,5.29394257318468)); -#2940=CARTESIAN_POINT('',(1.16246386572652,1.47163869805107,5.29394257318468)); -#2941=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.29394257318468)); -#2942=CARTESIAN_POINT('Origin',(-2.3933,0.0127425731846853,2.75394257318468)); -#2943=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.88754257318468)); -#2944=CARTESIAN_POINT('',(1.16246386572652,1.47163869805107,4.55717568750804)); -#2945=CARTESIAN_POINT('',(1.16246386572642,1.47163869805092,4.88754257318468)); -#2946=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.55717568750804)); -#2947=CARTESIAN_POINT('Origin',(2.11978935611032,-1.75255742681531,4.55717568750804)); -#2948=CARTESIAN_POINT('',(1.97399636706239,0.708288949066039,4.55717568750804)); -#2949=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.55717568750804)); -#2950=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.86214257318468)); -#2951=CARTESIAN_POINT('',(1.97399636706234,0.708288949065989,5.16694442741031)); -#2952=CARTESIAN_POINT('',(1.97399636706234,0.708288949065989,4.86214257318468)); -#2953=CARTESIAN_POINT('',(1.49290000022413,-0.0484859416824329,5.16694257424254)); -#2954=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.16694257318503)); -#2955=CARTESIAN_POINT('',(1.49290000026836,-0.0484859418583156,4.73514257318468)); -#2956=CARTESIAN_POINT('',(1.4929,-0.048485942252518,4.86214257318468)); -#2957=CARTESIAN_POINT('',(0.934099999511573,-0.0484859415350419,4.73514257318468)); -#2958=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.73514257318468)); -#2959=CARTESIAN_POINT('',(0.934095783631593,-0.048482948645388,5.16694442741032)); -#2960=CARTESIAN_POINT('',(0.934099999999999,-0.0484859422525191,4.86214257318468)); -#2961=CARTESIAN_POINT('',(0.934099324158085,1.36936917224735,5.16694404039554)); -#2962=CARTESIAN_POINT('Origin',(1.2135,0.66044257318494,5.16694394311565)); -#2963=CARTESIAN_POINT('',(0.934099466913813,1.3693706949001,4.73514257318473)); -#2964=CARTESIAN_POINT('',(0.934098970198655,1.36937049920967,4.73514257318468)); -#2965=CARTESIAN_POINT('',(1.49290053304314,1.36937069496349,4.73514257318468)); -#2966=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.73514257318468)); -#2967=CARTESIAN_POINT('',(1.4929006604615,1.36936916335702,5.16694440450027)); -#2968=CARTESIAN_POINT('',(1.49290111265183,1.36936969370455,5.16694387189202)); -#2969=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.16694257318503)); -#2970=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.20504431098334)); -#2971=CARTESIAN_POINT('',(0.934095874633337,0.0341442106735662,5.24314460776092)); -#2972=CARTESIAN_POINT('',(0.934095872561777,1.28674093365568,5.24314460816454)); -#2973=CARTESIAN_POINT('Origin',(1.2135,0.660442573184433,5.24314471712045)); -#2974=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,1.28674269532689,5.24314653718722)); -#2975=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,1.32588155256259,5.20740315317794)); -#2976=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,1.36936932968575,5.16694420962004)); -#2977=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,-0.04848341287438,5.16694492639259)); -#2978=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,-0.00499606134972408, -5.20740346808365)); -#2979=CARTESIAN_POINT('Ctrl Pts',(0.934099999999999,0.034142449732994,5.24314653598363)); -#2980=CARTESIAN_POINT('Origin',(0.730900577706997,0.66044257318494,5.24314257318468)); -#2981=CARTESIAN_POINT('',(0.934099999999999,0.660442643167783,5.24314257318468)); -#2982=CARTESIAN_POINT('Origin',(0.934099999999999,0.660442713150625,4.98914321494159)); -#2983=CARTESIAN_POINT('',(0.934099999999999,0.660442643167655,4.73514257318468)); -#2984=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,4.73514257318468)); -#2985=CARTESIAN_POINT('',(1.4929,0.660442503170095,4.73514257318468)); -#2986=CARTESIAN_POINT('Origin',(1.4929,0.660442433155503,4.98914321486311)); -#2987=CARTESIAN_POINT('',(1.49290418099728,0.0341442658270025,5.24314461863498)); -#2988=CARTESIAN_POINT('Ctrl Pts',(1.4929,-0.0484859414171518,5.16694257395737)); -#2989=CARTESIAN_POINT('Ctrl Pts',(1.4929,-0.00499717583210435,5.20740245062384)); -#2990=CARTESIAN_POINT('Ctrl Pts',(1.4929,0.0341424852201638,5.24314656839213)); -#2991=CARTESIAN_POINT('',(1.49290116396668,1.28674361764721,5.24314323299126)); -#2992=CARTESIAN_POINT('',(1.4929,0.660442503170222,5.24314257318468)); -#2993=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.28674342680752,5.2431430240227)); -#2994=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.28925664923318,5.24084783389791)); -#2995=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.29177631596771,5.23854462712003)); -#2996=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.29430230819208,5.23623347270035)); -#2997=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.30076714799089,5.23031847306994)); -#2998=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.30727341317587,5.2243514245524)); -#2999=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.31381905391895,5.21833355616073)); -#3000=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.32292202944233,5.20996454985218)); -#3001=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.33210115833829,5.20149725656066)); -#3002=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.34135896778555,5.19293223276499)); -#3003=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.35061659702173,5.18436737569475)); -#3004=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.35995290374607,5.17570479192514)); -#3005=CARTESIAN_POINT('Ctrl Pts',(1.4929,1.36936914380855,5.16694438348834)); -#3006=CARTESIAN_POINT('Origin',(1.2135,0.660442573184687,5.2050446296619)); -#3007=CARTESIAN_POINT('Origin',(1.2135,0.660442573184433,5.24314471724618)); -#3008=CARTESIAN_POINT('Origin',(1.696099422293,0.66044257318494,5.24314257318468)); -#3009=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.77142304818906,0.572414219311332)); -#3010=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.76920488133509,0.621281402965643)); -#3011=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.76698671447857,0.670148586619955)); -#3012=CARTESIAN_POINT('Ctrl Pts',(0.299448097119399,1.76476854762205,0.719015770274267)); -#3013=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.77281581220059,0.572482509218693)); -#3014=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.77162309007499,0.621399972406939)); -#3015=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.77043036794939,0.670317435595185)); -#3016=CARTESIAN_POINT('Ctrl Pts',(0.300183619431435,1.76923764582379,0.719234898783431)); -#3017=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.78838879561132,0.572710785090055)); -#3018=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.79866193104165,0.62179632003182)); -#3019=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.80893506647451,0.67088185497333)); -#3020=CARTESIAN_POINT('Ctrl Pts',(0.308003063513623,1.81920820190737,0.719967389915094)); -#3021=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.80587914280988,0.566625805431236)); -#3022=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.82902982548734,0.611231176991968)); -#3023=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.85218050816481,0.655836548552446)); -#3024=CARTESIAN_POINT('Ctrl Pts',(0.312132531038263,1.87533119084227,0.700441920112923)); -#3025=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.81815532261359,0.556094923771679)); -#3026=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.85034453858301,0.592946765652812)); -#3027=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.88253375455243,0.629798607533944)); -#3028=CARTESIAN_POINT('Ctrl Pts',(0.311767999918711,1.91472297052185,0.666650449415076)); -#3029=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.81920077037536,0.555132969310274)); -#3030=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.85215971394427,0.59127655686776)); -#3031=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.88511865751317,0.627420144425245)); -#3032=CARTESIAN_POINT('Ctrl Pts',(0.311703889351225,1.91807760108207,0.663563731982986)); -#3033=CARTESIAN_POINT('',(0.311799999999999,1.90145717899659,0.664648381607434)); -#3034=CARTESIAN_POINT('Ctrl Pts',(0.311800000000014,1.90145717899659,0.664648381607434)); -#3035=CARTESIAN_POINT('Ctrl Pts',(0.311799999999979,1.89193463749355,0.672274625693786)); -#3036=CARTESIAN_POINT('Ctrl Pts',(0.311546698201104,1.88172942150408,0.679034930096661)); -#3037=CARTESIAN_POINT('Ctrl Pts',(0.311040450659568,1.87100325259298,0.684829594610288)); -#3038=CARTESIAN_POINT('Ctrl Pts',(0.310929567939498,1.86865391420176,0.686098792207212)); -#3039=CARTESIAN_POINT('Ctrl Pts',(0.310806549351881,1.86627974355733,0.687321545820016)); -#3040=CARTESIAN_POINT('Ctrl Pts',(0.310671396691178,1.86388272373629,0.68849663051699)); -#3041=CARTESIAN_POINT('Ctrl Pts',(0.309919189342693,1.85054184006841,0.695036696687749)); -#3042=CARTESIAN_POINT('Ctrl Pts',(0.308791676677494,1.83648333247626,0.700105328990801)); -#3043=CARTESIAN_POINT('Ctrl Pts',(0.30727901434653,1.82206263171389,0.703582246026974)); -#3044=CARTESIAN_POINT('Ctrl Pts',(0.305992905189713,1.80980173586255,0.706538421206445)); -#3045=CARTESIAN_POINT('Ctrl Pts',(0.304428161452842,1.79728146911781,0.70834376600018)); -#3046=CARTESIAN_POINT('Ctrl Pts',(0.30256909540292,1.78471475582652,0.708981959368142)); -#3047=CARTESIAN_POINT('Ctrl Pts',(0.302241613624002,1.78250107997355,0.709094379633922)); -#3048=CARTESIAN_POINT('Ctrl Pts',(0.301904977351718,1.7802858729428,0.709170490624915)); -#3049=CARTESIAN_POINT('Ctrl Pts',(0.30155907304425,1.77807019394578,0.709210066512411)); -#3050=CARTESIAN_POINT('',(0.311799999999999,1.83546147721888,0.582242573184684)); -#3051=CARTESIAN_POINT('',(0.311799999999999,1.83546147721888,0.582242573184684)); -#3052=CARTESIAN_POINT('Ctrl Pts',(0.311800000000013,1.83546147721888,0.582242573184684)); -#3053=CARTESIAN_POINT('Ctrl Pts',(0.311800000000034,1.82571404540946,0.582242573184684)); -#3054=CARTESIAN_POINT('Ctrl Pts',(0.311373141764323,1.81565768198619,0.582242573185011)); -#3055=CARTESIAN_POINT('Ctrl Pts',(0.308457327272974,1.7961621481662,0.582242573185011)); -#3056=CARTESIAN_POINT('Ctrl Pts',(0.306082196817724,1.78718083903984,0.582242573184684)); -#3057=CARTESIAN_POINT('Ctrl Pts',(0.302469463853496,1.77804257255986,0.582242573184684)); -#3058=CARTESIAN_POINT('Origin',(0.0197002289075932,1.81433917318469,0.582242573184684)); -#3059=CARTESIAN_POINT('',(0.311516980244983,1.85063577318469,0.582242573184684)); -#3060=CARTESIAN_POINT('Ctrl Pts',(0.311516980245038,1.85063577318469,0.582242573184682)); -#3061=CARTESIAN_POINT('Ctrl Pts',(0.311574745185713,1.84892273923967,0.58224257318468)); -#3062=CARTESIAN_POINT('Ctrl Pts',(0.311625321433442,1.84721877660554,0.582242572747131)); -#3063=CARTESIAN_POINT('Ctrl Pts',(0.3116671770945,1.845524380796,0.58224257289534)); -#3064=CARTESIAN_POINT('Ctrl Pts',(0.311709032755556,1.84382998498646,0.582242573043549)); -#3065=CARTESIAN_POINT('Ctrl Pts',(0.311742175751122,1.84214515643548,0.582242573336497)); -#3066=CARTESIAN_POINT('Ctrl Pts',(0.311764919917542,1.84046819504987,0.582242573296152)); -#3067=CARTESIAN_POINT('Ctrl Pts',(0.311787664083962,1.83879123366426,0.582242573255807)); -#3068=CARTESIAN_POINT('Ctrl Pts',(0.311800000000038,1.83712213911219,0.582242573184684)); -#3069=CARTESIAN_POINT('Ctrl Pts',(0.311800000000015,1.83546147721888,0.582242573184684)); -#3070=CARTESIAN_POINT('',(-0.272116980244896,1.85063577318469,0.582242573184684)); -#3071=CARTESIAN_POINT('',(-0.272116980244896,1.85063577318469,0.582242573184684)); -#3072=CARTESIAN_POINT('',(-0.272400000000001,1.83546147721888,0.582242573184938)); -#3073=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000001,1.83546147721888,0.582242573184938)); -#3074=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000002,1.83712553566623,0.582242573184938)); -#3075=CARTESIAN_POINT('Ctrl Pts',(-0.272387614786349,1.83879689149164,0.582242573243016)); -#3076=CARTESIAN_POINT('Ctrl Pts',(-0.272364828967385,1.84047489687058,0.58224257327695)); -#3077=CARTESIAN_POINT('Ctrl Pts',(-0.272342043148422,1.84215290224952,0.582242573310883)); -#3078=CARTESIAN_POINT('Ctrl Pts',(-0.272308867129476,1.84383755750827,0.582242573023979)); -#3079=CARTESIAN_POINT('Ctrl Pts',(-0.272267018265175,1.84553080883119,0.582242572873749)); -#3080=CARTESIAN_POINT('Ctrl Pts',(-0.272225169400873,1.84722406015411,0.582242572723519)); -#3081=CARTESIAN_POINT('Ctrl Pts',(-0.272174638363628,1.84892590707179,0.582242573184683)); -#3082=CARTESIAN_POINT('Ctrl Pts',(-0.272116980244903,1.85063577318469,0.582242573184683)); -#3083=CARTESIAN_POINT('Ctrl Pts',(-0.263069463847893,1.77804257254841,0.582242573184684)); -#3084=CARTESIAN_POINT('Ctrl Pts',(-0.266673005669242,1.78715759038827,0.582242573184684)); -#3085=CARTESIAN_POINT('Ctrl Pts',(-0.269045698308777,1.79611748741736,0.582242573185502)); -#3086=CARTESIAN_POINT('Ctrl Pts',(-0.271971158049023,1.81561102475388,0.582242573185502)); -#3087=CARTESIAN_POINT('Ctrl Pts',(-0.27240000000005,1.82569142312062,0.582242573184684)); -#3088=CARTESIAN_POINT('Ctrl Pts',(-0.272399999999999,1.83546147721888,0.582242573184684)); -#3089=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.81919557361157,0.55513775040155)); -#3090=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.85215102619176,0.591285225794288)); -#3091=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.88510647876942,0.627432701187026)); -#3092=CARTESIAN_POINT('Ctrl Pts',(-0.272304207751758,1.91806193134962,0.663580176579763)); -#3093=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.81815175146248,0.556097986956054)); -#3094=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.85033866550864,0.592952459027252)); -#3095=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.88252557955481,0.62980693109845)); -#3096=CARTESIAN_POINT('Ctrl Pts',(-0.272368105869629,1.91471249360097,0.666661403169647)); -#3097=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.80587539517451,0.566627830100796)); -#3098=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.82902355404954,0.611235146087916)); -#3099=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.85217171292459,0.655842462074781)); -#3100=CARTESIAN_POINT('Ctrl Pts',(-0.272732022000926,1.87531987179963,0.700449778061902)); -#3101=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.78839206377852,0.572709583255019)); -#3102=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.7986677099709,0.621794732604464)); -#3103=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.80894335616329,0.670879881953908)); -#3104=CARTESIAN_POINT('Ctrl Pts',(-0.26860380137795,1.81921900235566,0.719965031303352)); -#3105=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.77282401633708,0.572482568071256)); -#3106=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.77163732256367,0.621400572168503)); -#3107=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.77045062879025,0.670318576265751)); -#3108=CARTESIAN_POINT('Ctrl Pts',(-0.260787692494497,1.76926393501937,0.719236580363)); -#3109=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.77143794379554,0.572414947772155)); -#3110=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.7692307216136,0.621283164838675)); -#3111=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.7670234994342,0.670151381905195)); -#3112=CARTESIAN_POINT('Ctrl Pts',(-0.260055962137903,1.76481627725226,0.719019598971715)); -#3113=CARTESIAN_POINT('',(-0.272400000000001,1.90145717899659,0.664648381607687)); -#3114=CARTESIAN_POINT('',(-0.272400000000001,1.83546147721888,0.582242573184938)); -#3115=CARTESIAN_POINT('Ctrl Pts',(-0.272399999999999,1.90145717899659,0.664648381607687)); -#3116=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000005,1.89206945169566,0.672166658089046)); -#3117=CARTESIAN_POINT('Ctrl Pts',(-0.272153819739772,1.88200797310577,0.67885171675882)); -#3118=CARTESIAN_POINT('Ctrl Pts',(-0.271660183408212,1.87142385796346,0.684601803122398)); -#3119=CARTESIAN_POINT('Ctrl Pts',(-0.271545877779446,1.86897301741765,0.685933283800029)); -#3120=CARTESIAN_POINT('Ctrl Pts',(-0.271418302471934,1.86649433277446,0.687214502706445)); -#3121=CARTESIAN_POINT('Ctrl Pts',(-0.271277439371688,1.8639900224779,0.688443988841373)); -#3122=CARTESIAN_POINT('Ctrl Pts',(-0.270528249762867,1.85067068442437,0.69498309123843)); -#3123=CARTESIAN_POINT('Ctrl Pts',(-0.269403806771517,1.83661680445472,0.700064046432345)); -#3124=CARTESIAN_POINT('Ctrl Pts',(-0.267891136091713,1.82217826362428,0.703554331332183)); -#3125=CARTESIAN_POINT('Ctrl Pts',(-0.266611102581474,1.80996025983225,0.706507837136007)); -#3126=CARTESIAN_POINT('Ctrl Pts',(-0.265052796383967,1.7974683340948,0.708321670642889)); -#3127=CARTESIAN_POINT('Ctrl Pts',(-0.26319807726175,1.78491081475188,0.708971765984458)); -#3128=CARTESIAN_POINT('Ctrl Pts',(-0.262860994987359,1.78262857312827,0.709089916282087)); -#3129=CARTESIAN_POINT('Ctrl Pts',(-0.262514097752737,1.78034407870351,0.709169521925862)); -#3130=CARTESIAN_POINT('Ctrl Pts',(-0.262157244184923,1.77805847982009,0.709210276679931)); -#3131=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.5060425731857)); -#3132=CARTESIAN_POINT('',(-0.253090003543058,1.97763577318468,0.506042573184683)); -#3133=CARTESIAN_POINT('Ctrl Pts',(-0.253090003543021,1.97763577318359,0.506042573184691)); -#3134=CARTESIAN_POINT('Ctrl Pts',(-0.256353365350437,1.97763577318367,0.520893424808609)); -#3135=CARTESIAN_POINT('Ctrl Pts',(-0.259166004459563,1.97600674581476,0.535786695961815)); -#3136=CARTESIAN_POINT('Ctrl Pts',(-0.264029097698391,1.96939463753005,0.565321525474807)); -#3137=CARTESIAN_POINT('Ctrl Pts',(-0.266048352053757,1.964381701958,0.579845752848028)); -#3138=CARTESIAN_POINT('Ctrl Pts',(-0.26924502797691,1.95121883317357,0.607370368421397)); -#3139=CARTESIAN_POINT('Ctrl Pts',(-0.270422444729511,1.94316295513992,0.62029044187588)); -#3140=CARTESIAN_POINT('Ctrl Pts',(-0.27200493330281,1.92422990842935,0.64419347092658)); -#3141=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000006,1.91340603049215,0.655078997422209)); -#3142=CARTESIAN_POINT('Ctrl Pts',(-0.272400000000001,1.90145717899648,0.664648381607558)); -#3143=CARTESIAN_POINT('',(-0.615300000000001,1.97763577318468,0.506042573184683)); -#3144=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.506042573184683)); -#3145=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.506042573184683)); -#3146=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.506042573184683)); -#3147=CARTESIAN_POINT('Origin',(-0.488300000000001,1.77443577318468,0.506042573184683)); -#3148=CARTESIAN_POINT('Origin',(-0.488300000000001,1.81433917318468,0.404399868784444)); -#3149=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.226642573184684)); -#3150=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.506042573184683)); -#3151=CARTESIAN_POINT('',(-0.488300000000001,1.85063577318469,0.226642573184684)); -#3152=CARTESIAN_POINT('Origin',(0.0196999999999992,1.85063577318469,0.359992573184684)); -#3153=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.226642573184684)); -#3154=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.226642573184684)); -#3155=CARTESIAN_POINT('',(-0.615300000000001,1.85063577318469,0.213942573184684)); -#3156=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.506042573184683)); -#3157=CARTESIAN_POINT('',(0.654699999999999,1.85063577318469,0.506042573184683)); -#3158=CARTESIAN_POINT('',(0.527699999999999,1.85063577318469,0.506042573184683)); -#3159=CARTESIAN_POINT('Origin',(0.0196999999999992,1.81433917318469,0.226642573184684)); -#3160=CARTESIAN_POINT('',(0.527699999999999,1.77804257318469,0.226642573184684)); -#3161=CARTESIAN_POINT('Origin',(0.527699999999999,1.81433917318469,0.404399868784444)); -#3162=CARTESIAN_POINT('Origin',(0.527699999999999,1.77443577318469,0.506042573184683)); -#3163=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.506042573184683)); -#3164=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.506042573184683)); -#3165=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.5060425731857)); -#3166=CARTESIAN_POINT('Origin',(0.654699999999999,1.77443577318469,0.5060425731857)); -#3167=CARTESIAN_POINT('',(0.292490003543107,1.97763577318468,0.50604257318443)); -#3168=CARTESIAN_POINT('',(0.292490003543107,1.97763577318468,0.50604257318443)); -#3169=CARTESIAN_POINT('Ctrl Pts',(0.311800000000015,1.90145717899661,0.664648381607459)); -#3170=CARTESIAN_POINT('Ctrl Pts',(0.311800000000136,1.91340598474094,0.655079034062613)); -#3171=CARTESIAN_POINT('Ctrl Pts',(0.311404936307287,1.92422982570881,0.64419355415865)); -#3172=CARTESIAN_POINT('Ctrl Pts',(0.309822459846215,1.94316282080596,0.620290632561106)); -#3173=CARTESIAN_POINT('Ctrl Pts',(0.308645052079375,1.95121868475686,0.607370618810894)); -#3174=CARTESIAN_POINT('Ctrl Pts',(0.305448388563254,1.96438160151595,0.579846023722821)); -#3175=CARTESIAN_POINT('Ctrl Pts',(0.303429131260632,1.969394574972,0.565321746320909)); -#3176=CARTESIAN_POINT('Ctrl Pts',(0.298566025819497,1.97600673342663,0.535786808946256)); -#3177=CARTESIAN_POINT('Ctrl Pts',(0.295753377750799,1.97763577318368,0.520893481240269)); -#3178=CARTESIAN_POINT('Ctrl Pts',(0.292490003542997,1.97763577318359,0.506042573184455)); -#3179=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.81253638769958,0.560362861999312)); -#3180=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.84061754676449,0.600398349358454)); -#3181=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.86869870583193,0.640433836717595)); -#3182=CARTESIAN_POINT('Ctrl Pts',(0.311705531331685,1.89677986489683,0.680469324076737)); -#3183=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.81369032754309,0.559558078023666)); -#3184=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.84262197074903,0.599000418339996)); -#3185=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.87155361395243,0.638442758656326)); -#3186=CARTESIAN_POINT('Ctrl Pts',(0.311768534743143,1.90048525715837,0.677885098972655)); -#3187=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.82293102444646,0.552641359968128)); -#3188=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.85867330530111,0.586985896418872)); -#3189=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.89441558615574,0.62133043286987)); -#3190=CARTESIAN_POINT('Ctrl Pts',(0.312026591777193,1.93015786700785,0.655674969320614)); -#3191=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.83662609565673,0.53513019543706)); -#3192=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.88246200565351,0.556568541189442)); -#3193=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.92829791565283,0.578006886941824)); -#3194=CARTESIAN_POINT('Ctrl Pts',(0.308536474537731,1.97413382564961,0.59944523269446)); -#3195=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.84109290760192,0.514078504718326)); -#3196=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.8902209759623,0.520001198473118)); -#3197=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.93934904432269,0.52592389222791)); -#3198=CARTESIAN_POINT('Ctrl Pts',(0.298153142152291,1.98847711268561,0.531846585982702)); -#3199=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.840747312667,0.503308141945658)); -#3200=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.88962066843801,0.501292793911084)); -#3201=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.93849402420647,0.499277445876255)); -#3202=CARTESIAN_POINT('Ctrl Pts',(0.290612905804295,1.98736737997746,0.497262097841682)); -#3203=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.8406600481857,0.501955709239048)); -#3204=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.88946908772771,0.498943582584115)); -#3205=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.93827812727225,0.495931455929438)); -#3206=CARTESIAN_POINT('Ctrl Pts',(0.289626577596107,1.98708716681425,0.492919329274506)); -#3207=CARTESIAN_POINT('',(0.29249000354274,1.89106112872625,0.506042573183899)); -#3208=CARTESIAN_POINT('',(0.292490003542599,1.85063577318469,0.506042573183668)); -#3209=CARTESIAN_POINT('',(0.292490003542599,1.85063577318469,0.506042573183668)); -#3210=CARTESIAN_POINT('',(0.292490003542599,1.85063577318469,0.506042573183668)); -#3211=CARTESIAN_POINT('Ctrl Pts',(0.292490003542462,1.85063577318469,0.506042573183748)); -#3212=CARTESIAN_POINT('Ctrl Pts',(0.299152704843897,1.85063577318469,0.517412763759254)); -#3213=CARTESIAN_POINT('Ctrl Pts',(0.303811100709241,1.85063577317677,0.528660415840561)); -#3214=CARTESIAN_POINT('Ctrl Pts',(0.309703991694361,1.85063577317677,0.553715446373217)); -#3215=CARTESIAN_POINT('Ctrl Pts',(0.310998149380107,1.85063577318469,0.566856512488076)); -#3216=CARTESIAN_POINT('Ctrl Pts',(0.311516980245039,1.85063577318469,0.582242573184681)); -#3217=CARTESIAN_POINT('Origin',(0.0196999999999992,1.85063577318469,0.450313909503803)); -#3218=CARTESIAN_POINT('',(-0.253090003542931,1.85063577318469,0.50604257318443)); -#3219=CARTESIAN_POINT('Origin',(0.0196999999999992,1.85063577318469,0.610484059037387)); -#3220=CARTESIAN_POINT('Ctrl Pts',(-0.272116980244903,1.85063577318469,0.582242573184684)); -#3221=CARTESIAN_POINT('Ctrl Pts',(-0.271598779476615,1.85063577318469,0.566875198165379)); -#3222=CARTESIAN_POINT('Ctrl Pts',(-0.270306988847013,1.85063577339603,0.553745166944102)); -#3223=CARTESIAN_POINT('Ctrl Pts',(-0.264421099700378,1.85063577339603,0.528685073334563)); -#3224=CARTESIAN_POINT('Ctrl Pts',(-0.259760371314592,1.85063577318469,0.517425846925673)); -#3225=CARTESIAN_POINT('Ctrl Pts',(-0.253090003542963,1.85063577318469,0.506042573184412)); -#3226=CARTESIAN_POINT('Origin',(0.0196999999999992,1.91413577318468,0.610484059037387)); -#3227=CARTESIAN_POINT('Origin',(0.0196999999999992,1.97763577318468,0.610484059037387)); -#3228=CARTESIAN_POINT('',(-0.253090003543023,1.89106112872989,0.50604257318458)); -#3229=CARTESIAN_POINT('',(-0.253090003543058,1.97763577318468,0.506042573184683)); -#3230=CARTESIAN_POINT('',(-0.253090003543058,1.97763577318468,0.506042573184683)); -#3231=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.84066366883378,0.502012221158535)); -#3232=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.8894753768566,0.499041745283141)); -#3233=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.93828708487942,0.496071269407494)); -#3234=CARTESIAN_POINT('Ctrl Pts',(-0.250267768532523,1.98709879290224,0.493100793531845)); -#3235=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.84074852280174,0.50334612131166)); -#3236=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.8896227704404,0.50135876507429)); -#3237=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.9384970180816,0.499371408836666)); -#3238=CARTESIAN_POINT('Ctrl Pts',(-0.25123948759919,1.98737126572026,0.497384052599296)); -#3239=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.84108870384858,0.514098316617996)); -#3240=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.8902136739068,0.520035612262812)); -#3241=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.93933864396502,0.525972907907373)); -#3242=CARTESIAN_POINT('Ctrl Pts',(-0.258762913982483,1.9884636140207,0.53191020355219)); -#3243=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.83662609565418,0.535130195436297)); -#3244=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.88246200562556,0.556568541175726)); -#3245=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.9282979155944,0.5780068869149)); -#3246=CARTESIAN_POINT('Ctrl Pts',(-0.269136474537789,1.97413382556578,0.599445232654074)); -#3247=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.82293072106632,0.552641747881754)); -#3248=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.8586727783019,0.586986570214324)); -#3249=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.89441483553494,0.621331392547148)); -#3250=CARTESIAN_POINT('Ctrl Pts',(-0.272626669091389,1.93015689277052,0.655676214879717)); -#3251=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.81368955864444,0.559558653541184)); -#3252=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.8426206351332,0.59900141800551)); -#3253=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.87155171162196,0.638444182469581)); -#3254=CARTESIAN_POINT('Ctrl Pts',(-0.272368513267272,1.90048278811072,0.677886946933908)); -#3255=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.81253520649544,0.560363685776176)); -#3256=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.84061549496518,0.600399780256786)); -#3257=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.86869578343746,0.640435874737395)); -#3258=CARTESIAN_POINT('Ctrl Pts',(-0.27230546682923,1.89677607190974,0.680471969217751)); -#3259=CARTESIAN_POINT('Origin',(0.0196999999999992,1.97763577318468,0.359992573184684)); -#3260=CARTESIAN_POINT('',(0.654699999999999,1.97763577318468,0.213942573184684)); -#3261=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.213942573184684)); -#3262=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.0107425731846836)); -#3263=CARTESIAN_POINT('Origin',(0.0196999999999992,1.31901705616263,0.0107425731846838)); -#3264=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.010742573184684)); -#3265=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.010742573184684)); -#3266=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.010742573184684)); -#3267=CARTESIAN_POINT('Origin',(0.0196999999999992,1.31901705616009,0.137742573184684)); -#3268=CARTESIAN_POINT('',(0.654699999999999,1.77443577318469,0.137742573184683)); -#3269=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.137742573184684)); -#3270=CARTESIAN_POINT('',(-0.615300000000001,1.77443577318468,0.137742573184683)); -#3271=CARTESIAN_POINT('',(0.654699999999999,0.863598339138026,0.137742573184684)); -#3272=CARTESIAN_POINT('Origin',(0.0196999999999992,0.863598339138026,0.0742425731846836)); -#3273=CARTESIAN_POINT('',(-0.615300000000001,0.863598339138025,0.137742573184684)); -#3274=CARTESIAN_POINT('Origin',(0.0196999999999992,1.77443577318469,0.213942573184684)); -#3275=CARTESIAN_POINT('Origin',(-0.615300000000001,1.91413577318468,0.359992573184684)); -#3276=CARTESIAN_POINT('Origin',(0.654699999999999,1.91413577318468,0.359992573184684)); -#3277=CARTESIAN_POINT('Origin',(1.2897,-1.82320626447728,0.750699449678182)); -#3278=CARTESIAN_POINT('Origin',(1.6961,-1.78588190095671,0.699326870535306)); -#3279=CARTESIAN_POINT('Origin',(1.55983078034264,-1.78588190095671,0.62083655396431)); -#3280=CARTESIAN_POINT('Origin',(0.8833,-1.78588190095671,0.699326870535306)); -#3281=CARTESIAN_POINT('Origin',(1.01956921965736,-1.78588190095671,0.62083655396431)); -#3282=CARTESIAN_POINT('Origin',(-1.2503,-1.82320626447728,0.750699449678182)); -#3283=CARTESIAN_POINT('',(-1.42469324703137,-1.89385509752367,0.69937006791602)); -#3284=CARTESIAN_POINT('',(-1.6567,-1.89385510213921,0.69937006456271)); -#3285=CARTESIAN_POINT('',(-1.07590665796529,-1.89385510772365,0.69937006050531)); -#3286=CARTESIAN_POINT('Origin',(-1.2503,-1.92187815965796,0.679010121510517)); -#3287=CARTESIAN_POINT('',(-1.0759065656626,-1.89385510213919,0.69937006456271)); -#3288=CARTESIAN_POINT('Origin',(-0.980169219657359,-1.78588190095671,0.62083655396431)); -#3289=CARTESIAN_POINT('',(-1.07590670607431,-1.81920637509815,0.596624906276958)); -#3290=CARTESIAN_POINT('',(-0.8439,-1.8192063750981,0.596624906277213)); -#3291=CARTESIAN_POINT('Origin',(-1.2503,-1.8472294326169,0.576264963225019)); -#3292=CARTESIAN_POINT('Origin',(-1.2503,-1.88455379613736,0.62763754236764)); -#3293=CARTESIAN_POINT('',(-1.07590666219182,-1.84253614084336,0.628735574057519)); -#3294=CARTESIAN_POINT('',(-1.07590670607431,-1.81920637509815,0.596624906276958)); -#3295=CARTESIAN_POINT('',(-1.07590670607431,-1.81920637509815,0.596624906276958)); -#3296=CARTESIAN_POINT('',(-1.42469325085966,-1.84253597253558,0.628735342401952)); -#3297=CARTESIAN_POINT('',(-1.42469315612536,-1.89385510213921,0.69937006456271)); -#3298=CARTESIAN_POINT('',(-1.42469329392569,-1.81920637509815,0.596624906276958)); -#3299=CARTESIAN_POINT('',(-1.42469315612536,-1.89385510213921,0.69937006456271)); -#3300=CARTESIAN_POINT('Origin',(-1.2503,-1.8472294326169,0.576264963225019)); -#3301=CARTESIAN_POINT('Origin',(-1.52043078034264,-1.78588190095671,0.62083655396431)); -#3302=CARTESIAN_POINT('',(-1.42469329392569,-1.81920637509813,0.596624906276958)); -#3303=CARTESIAN_POINT('Origin',(-1.6567,-1.34130000000009,0.0742425731846841)); -#3304=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.137742573184684)); -#3305=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.137742573184684)); -#3306=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.0107425731846842)); -#3307=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.0107425731846842)); -#3308=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.0107425731846846)); -#3309=CARTESIAN_POINT('Origin',(-1.2503,-1.34130000000009,0.0107425731846844)); -#3310=CARTESIAN_POINT('',(-0.8439,-1.77441713887335,0.0107425731846846)); -#3311=CARTESIAN_POINT('',(-0.843900000000001,-0.908182861126625,0.0107425731846842)); -#3312=CARTESIAN_POINT('Origin',(-1.2503,-1.34130000000009,0.137742573184684)); -#3313=CARTESIAN_POINT('',(-1.6567,-1.77441713887335,0.137742573184684)); -#3314=CARTESIAN_POINT('',(-1.6567,-0.908182861126625,0.137742573184684)); -#3315=CARTESIAN_POINT('Origin',(-1.2503,-0.908182861126625,0.0742425731846839)); -#3316=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887417,0.213942573184685)); -#3317=CARTESIAN_POINT('',(-1.6567,-1.97761713887337,0.213942573184684)); -#3318=CARTESIAN_POINT('Origin',(-1.2503,-1.97761713887335,0.374460192245324)); -#3319=CARTESIAN_POINT('',(-0.8439,-1.97761713887335,0.53497781130571)); -#3320=CARTESIAN_POINT('',(-1.08922237310357,-1.97761713887335,0.53497781130571)); -#3321=CARTESIAN_POINT('',(-0.8439,-1.97761713887335,0.53497781130571)); -#3322=CARTESIAN_POINT('',(-1.41137762689643,-1.97761713887335,0.53497781130571)); -#3323=CARTESIAN_POINT('Origin',(-1.2503,-1.97761713887335,0.610256215336612)); -#3324=CARTESIAN_POINT('',(-1.41137762689643,-1.97761713887335,0.53497781130571)); -#3325=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887322,0.53497781130571)); -#3326=CARTESIAN_POINT('',(-1.42809996863142,-1.93673652957415,0.65721796592985)); -#3327=CARTESIAN_POINT('Ctrl Pts',(-1.42810000000003,-1.93673652957419,0.657217965929882)); -#3328=CARTESIAN_POINT('Ctrl Pts',(-1.4281000000006,-1.94269645702335,0.649303939689956)); -#3329=CARTESIAN_POINT('Ctrl Pts',(-1.42782748594417,-1.94808196912357,0.640948363316131)); -#3330=CARTESIAN_POINT('Ctrl Pts',(-1.42727587566415,-1.95284551127251,0.632210054609266)); -#3331=CARTESIAN_POINT('Ctrl Pts',(-1.42701851337345,-1.95506801539126,0.628133061799139)); -#3332=CARTESIAN_POINT('Ctrl Pts',(-1.42670016719767,-1.95715444308958,0.623972711010455)); -#3333=CARTESIAN_POINT('Ctrl Pts',(-1.4263189616396,-1.95909625819837,0.619735482785907)); -#3334=CARTESIAN_POINT('Ctrl Pts',(-1.42568114354674,-1.96234522659135,0.612645919771883)); -#3335=CARTESIAN_POINT('Ctrl Pts',(-1.42486644351441,-1.96520585761062,0.605341985530363)); -#3336=CARTESIAN_POINT('Ctrl Pts',(-1.42386906707057,-1.96762747644914,0.597907289709347)); -#3337=CARTESIAN_POINT('Ctrl Pts',(-1.42299269897767,-1.96975528836644,0.591374620687384)); -#3338=CARTESIAN_POINT('Ctrl Pts',(-1.42197532126616,-1.97154400637841,0.584741219181864)); -#3339=CARTESIAN_POINT('Ctrl Pts',(-1.42081690601527,-1.97299584174118,0.578067703405312)); -#3340=CARTESIAN_POINT('Ctrl Pts',(-1.41917594605468,-1.97505244769302,0.568614295245063)); -#3341=CARTESIAN_POINT('Ctrl Pts',(-1.41725484779048,-1.97640786779925,0.559079282429596)); -#3342=CARTESIAN_POINT('Ctrl Pts',(-1.41502699535208,-1.97709334688725,0.549541270878407)); -#3343=CARTESIAN_POINT('Ctrl Pts',(-1.413892237587,-1.97744249600704,0.544683079875957)); -#3344=CARTESIAN_POINT('Ctrl Pts',(-1.41267758760888,-1.97761713887335,0.539824283993388)); -#3345=CARTESIAN_POINT('Ctrl Pts',(-1.41137762689653,-1.97761713887335,0.534977811305683)); -#3346=CARTESIAN_POINT('Ctrl Pts',(-1.42469329270121,-1.89385509335689,0.699370070943466)); -#3347=CARTESIAN_POINT('Ctrl Pts',(-1.42582365207147,-1.90196387293158,0.693478698394092)); -#3348=CARTESIAN_POINT('Ctrl Pts',(-1.42667577210691,-1.90964633233063,0.686980139841143)); -#3349=CARTESIAN_POINT('Ctrl Pts',(-1.42781655417629,-1.92401258217284,0.672864920826599)); -#3350=CARTESIAN_POINT('Ctrl Pts',(-1.42810309217742,-1.9306688198395,0.665275113355767)); -#3351=CARTESIAN_POINT('Ctrl Pts',(-1.42810000000008,-1.93673652957993,0.657217965922164)); -#3352=CARTESIAN_POINT('Ctrl Pts',(-1.42788161231028,-1.95363126897912,0.650267016194963)); -#3353=CARTESIAN_POINT('Ctrl Pts',(-1.42788393643822,-1.91249759011644,0.623796465728245)); -#3354=CARTESIAN_POINT('Ctrl Pts',(-1.42788626056642,-1.87136391125376,0.597325915261781)); -#3355=CARTESIAN_POINT('Ctrl Pts',(-1.42788858469436,-1.83023023239106,0.570855364795063)); -#3356=CARTESIAN_POINT('Ctrl Pts',(-1.42802524773244,-1.95078476046869,0.654668499302825)); -#3357=CARTESIAN_POINT('Ctrl Pts',(-1.42802671163908,-1.91030557301377,0.627191359695365)); -#3358=CARTESIAN_POINT('Ctrl Pts',(-1.42802817554599,-1.86982638555884,0.599714220087904)); -#3359=CARTESIAN_POINT('Ctrl Pts',(-1.42802963945263,-1.82934719810392,0.572237080480699)); -#3360=CARTESIAN_POINT('Ctrl Pts',(-1.42839300025474,-1.93565532711929,0.676297044201351)); -#3361=CARTESIAN_POINT('Ctrl Pts',(-1.42838985657472,-1.8986473115757,0.643870633663903)); -#3362=CARTESIAN_POINT('Ctrl Pts',(-1.4283867128947,-1.86163929603212,0.611444223126454)); -#3363=CARTESIAN_POINT('Ctrl Pts',(-1.42838356921467,-1.82463128048855,0.57901781258926)); -#3364=CARTESIAN_POINT('Ctrl Pts',(-1.42667433103067,-1.91297142092918,0.69859524604885)); -#3365=CARTESIAN_POINT('Ctrl Pts',(-1.42667680114943,-1.88115243792798,0.661054977516381)); -#3366=CARTESIAN_POINT('Ctrl Pts',(-1.42667927126793,-1.84933345492678,0.623514708984164)); -#3367=CARTESIAN_POINT('Ctrl Pts',(-1.42668174138669,-1.81751447192558,0.585974440451695)); -#3368=CARTESIAN_POINT('Ctrl Pts',(-1.42354026932141,-1.89136246929291,0.713157167851034)); -#3369=CARTESIAN_POINT('Ctrl Pts',(-1.42353920704185,-1.86452966830333,0.67225396758343)); -#3370=CARTESIAN_POINT('Ctrl Pts',(-1.42353814476203,-1.83769686731374,0.631350767316081)); -#3371=CARTESIAN_POINT('Ctrl Pts',(-1.42353708248247,-1.81086406632413,0.59044756704873)); -#3372=CARTESIAN_POINT('Ctrl Pts',(-1.42286991232177,-1.88707747224984,0.715826626501692)); -#3373=CARTESIAN_POINT('Ctrl Pts',(-1.42286715183148,-1.86123504463765,0.67430533610324)); -#3374=CARTESIAN_POINT('Ctrl Pts',(-1.42286439134144,-1.83539261702548,0.632784045704534)); -#3375=CARTESIAN_POINT('Ctrl Pts',(-1.42286163085116,-1.80955018941328,0.591262755306083)); -#3376=CARTESIAN_POINT('',(-1.4281,-1.83528691039211,0.580817869281748)); -#3377=CARTESIAN_POINT('',(-1.4281,-1.83528691039211,0.580817869281748)); -#3378=CARTESIAN_POINT('Ctrl Pts',(-1.42810000000004,-1.83528691039218,0.580817869281804)); -#3379=CARTESIAN_POINT('Ctrl Pts',(-1.42810022308253,-1.83299677154709,0.58385888263132)); -#3380=CARTESIAN_POINT('Ctrl Pts',(-1.42781295542283,-1.83049045365117,0.586711703294918)); -#3381=CARTESIAN_POINT('Ctrl Pts',(-1.42667149629601,-1.82507937010162,0.592024828325393)); -#3382=CARTESIAN_POINT('Ctrl Pts',(-1.42581498411461,-1.82219701414972,0.594452079820212)); -#3383=CARTESIAN_POINT('Ctrl Pts',(-1.42469329392565,-1.81920637509824,0.596624906277051)); -#3384=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887312,0.53497781130571)); -#3385=CARTESIAN_POINT('',(-1.41137762689643,-1.85061713887335,0.53497781130571)); -#3386=CARTESIAN_POINT('',(-1.6567,-1.85061713887335,0.53497781130571)); -#3387=CARTESIAN_POINT('Ctrl Pts',(-1.4280999999999,-1.83528691039218,0.580817869281804)); -#3388=CARTESIAN_POINT('Ctrl Pts',(-1.42809999999997,-1.83778361544647,0.577502562257448)); -#3389=CARTESIAN_POINT('Ctrl Pts',(-1.42775464777988,-1.83999102793526,0.574006829888038)); -#3390=CARTESIAN_POINT('Ctrl Pts',(-1.42638882550968,-1.84382592444481,0.566697940699069)); -#3391=CARTESIAN_POINT('Ctrl Pts',(-1.42536603985752,-1.84542037302451,0.562924224428272)); -#3392=CARTESIAN_POINT('Ctrl Pts',(-1.42260569926787,-1.84805475690655,0.555040543645411)); -#3393=CARTESIAN_POINT('Ctrl Pts',(-1.4208329164422,-1.84903045548682,0.550965839502333)); -#3394=CARTESIAN_POINT('Ctrl Pts',(-1.41659522355089,-1.85032712683939,0.542833412485876)); -#3395=CARTESIAN_POINT('Ctrl Pts',(-1.41412480778331,-1.85061713887335,0.538818543859151)); -#3396=CARTESIAN_POINT('Ctrl Pts',(-1.41137762689646,-1.85061713887335,0.534977811305685)); -#3397=CARTESIAN_POINT('Origin',(-1.2503,-1.85061713887335,0.374460192245324)); -#3398=CARTESIAN_POINT('',(-0.8439,-1.8506171388733,0.213942573184685)); -#3399=CARTESIAN_POINT('',(-1.08922237310357,-1.85061713887335,0.53497781130571)); -#3400=CARTESIAN_POINT('Origin',(-1.2503,-1.85061713887335,0.610256215336613)); -#3401=CARTESIAN_POINT('',(-1.08922237310357,-1.85061713887335,0.53497781130571)); -#3402=CARTESIAN_POINT('',(-0.8439,-1.8506171388733,0.213942573184685)); -#3403=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887329,0.213942573184685)); -#3404=CARTESIAN_POINT('Origin',(-0.8439,-1.91379741007765,0.586977893541813)); -#3405=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887322,0.53497781130571)); -#3406=CARTESIAN_POINT('',(-1.07249996814849,-1.93673672615078,0.657218114367566)); -#3407=CARTESIAN_POINT('Ctrl Pts',(-1.07250000000013,-1.93673652937653,0.657217966192245)); -#3408=CARTESIAN_POINT('Ctrl Pts',(-1.07249690447105,-1.93066882486895,0.665275106649393)); -#3409=CARTESIAN_POINT('Ctrl Pts',(-1.07278343783233,-1.92401259340219,0.672864908019196)); -#3410=CARTESIAN_POINT('Ctrl Pts',(-1.07392421618107,-1.90964635043126,0.686980123831)); -#3411=CARTESIAN_POINT('Ctrl Pts',(-1.07477633773539,-1.9019638913877,0.693478683580843)); -#3412=CARTESIAN_POINT('Ctrl Pts',(-1.07590670470159,-1.89385511198786,0.699370057407278)); -#3413=CARTESIAN_POINT('Ctrl Pts',(-1.08922237310339,-1.97761713887335,0.53497781130566)); -#3414=CARTESIAN_POINT('Ctrl Pts',(-1.08667022208546,-1.97761713887335,0.544492660551533)); -#3415=CARTESIAN_POINT('Ctrl Pts',(-1.08444577717426,-1.97694663290019,0.554052847460759)); -#3416=CARTESIAN_POINT('Ctrl Pts',(-1.08251265183297,-1.97559632680792,0.563568362952961)); -#3417=CARTESIAN_POINT('Ctrl Pts',(-1.08152762979974,-1.97490827972474,0.568416984103988)); -#3418=CARTESIAN_POINT('Ctrl Pts',(-1.08061854895755,-1.97404305244094,0.57325454546617)); -#3419=CARTESIAN_POINT('Ctrl Pts',(-1.07978250857968,-1.97299510981541,0.578071076322041)); -#3420=CARTESIAN_POINT('Ctrl Pts',(-1.07862431731276,-1.97154336414705,0.584743557845487)); -#3421=CARTESIAN_POINT('Ctrl Pts',(-1.07760713869246,-1.96975484808545,0.591375817973398)); -#3422=CARTESIAN_POINT('Ctrl Pts',(-1.0767309329376,-1.9676274174792,0.597907270519237)); -#3423=CARTESIAN_POINT('Ctrl Pts',(-1.07573337704967,-1.96520534832977,0.605343296712452)); -#3424=CARTESIAN_POINT('Ctrl Pts',(-1.0749185835266,-1.96234417957993,0.61264836748587)); -#3425=CARTESIAN_POINT('Ctrl Pts',(-1.0742807343866,-1.95909470782119,0.619738860834415)); -#3426=CARTESIAN_POINT('Ctrl Pts',(-1.07346363913058,-1.95493208096773,0.628821899619918)); -#3427=CARTESIAN_POINT('Ctrl Pts',(-1.07293436102201,-1.95010846029869,0.63755069880726)); -#3428=CARTESIAN_POINT('Ctrl Pts',(-1.07267870233258,-1.94469440172268,0.645864464509073)); -#3429=CARTESIAN_POINT('Ctrl Pts',(-1.07255948906656,-1.942169834274,0.649741161070845)); -#3430=CARTESIAN_POINT('Ctrl Pts',(-1.07249999999702,-1.93951616972802,0.653527777029029)); -#3431=CARTESIAN_POINT('Ctrl Pts',(-1.07249999999996,-1.93673692342046,0.657218262922108)); -#3432=CARTESIAN_POINT('Ctrl Pts',(-1.07265334435676,-1.93616993637623,0.673627571512113)); -#3433=CARTESIAN_POINT('Ctrl Pts',(-1.07265411398565,-1.89904006021863,0.641804818232676)); -#3434=CARTESIAN_POINT('Ctrl Pts',(-1.07265488361454,-1.86191018406103,0.609982064953241)); -#3435=CARTESIAN_POINT('Ctrl Pts',(-1.07265565324343,-1.8247803079034,0.578159311673805)); -#3436=CARTESIAN_POINT('Ctrl Pts',(-1.07255104364721,-1.93909735274983,0.670229747508942)); -#3437=CARTESIAN_POINT('Ctrl Pts',(-1.07255127140571,-1.90129871208059,0.639186809982292)); -#3438=CARTESIAN_POINT('Ctrl Pts',(-1.07255149916421,-1.86350007141132,0.608143872455642)); -#3439=CARTESIAN_POINT('Ctrl Pts',(-1.07255172692245,-1.82570143074205,0.577100934929247)); -#3440=CARTESIAN_POINT('Ctrl Pts',(-1.07223074424286,-1.95676358479228,0.648285831163182)); -#3441=CARTESIAN_POINT('Ctrl Pts',(-1.07222954281524,-1.91491846681575,0.622279315749343)); -#3442=CARTESIAN_POINT('Ctrl Pts',(-1.07222834138736,-1.87307334883922,0.596272800335756)); -#3443=CARTESIAN_POINT('Ctrl Pts',(-1.07222713995974,-1.8312282308627,0.570266284921917)); -#3444=CARTESIAN_POINT('Ctrl Pts',(-1.07534065369892,-1.98085522331817,0.60158941708147)); -#3445=CARTESIAN_POINT('Ctrl Pts',(-1.07535332878685,-1.93340032952147,0.586301950459106)); -#3446=CARTESIAN_POINT('Ctrl Pts',(-1.07536600387479,-1.88594543572477,0.571014483836743)); -#3447=CARTESIAN_POINT('Ctrl Pts',(-1.07537867896298,-1.83849054192807,0.555727017214378)); -#3448=CARTESIAN_POINT('Ctrl Pts',(-1.0845443614934,-1.98811211001705,0.552077617318882)); -#3449=CARTESIAN_POINT('Ctrl Pts',(-1.08454314322329,-1.93907199111249,0.548152763061927)); -#3450=CARTESIAN_POINT('Ctrl Pts',(-1.08454192495293,-1.89003187220794,0.544227908804971)); -#3451=CARTESIAN_POINT('Ctrl Pts',(-1.08454070668283,-1.84099175330336,0.540303054547761)); -#3452=CARTESIAN_POINT('Ctrl Pts',(-1.09137871415868,-1.98735345031989,0.526776703676462)); -#3453=CARTESIAN_POINT('Ctrl Pts',(-1.09137894834769,-1.93847905625714,0.528659040051229)); -#3454=CARTESIAN_POINT('Ctrl Pts',(-1.09137918253645,-1.88960466219439,0.530541376425995)); -#3455=CARTESIAN_POINT('Ctrl Pts',(-1.09137941672547,-1.84073026813164,0.532423712800761)); -#3456=CARTESIAN_POINT('Ctrl Pts',(-1.09252748023477,-1.98711261129728,0.522752141638226)); -#3457=CARTESIAN_POINT('Ctrl Pts',(-1.09252827283796,-1.93829026410635,0.525558246279753)); -#3458=CARTESIAN_POINT('Ctrl Pts',(-1.09252906544115,-1.88946791691543,0.528364350921025)); -#3459=CARTESIAN_POINT('Ctrl Pts',(-1.09252985804434,-1.8406455697245,0.53117045556255)); -#3460=CARTESIAN_POINT('',(-1.08922237310359,-1.89104249441663,0.534977811305687)); -#3461=CARTESIAN_POINT('',(-1.08922237310357,-1.97761713887335,0.53497781130571)); -#3462=CARTESIAN_POINT('',(-1.0725,-1.83528691039211,0.580817869281748)); -#3463=CARTESIAN_POINT('',(-1.0724999044452,-1.93673692342046,0.657218262922108)); -#3464=CARTESIAN_POINT('Ctrl Pts',(-1.08922237310343,-1.85061713887335,0.534977811305604)); -#3465=CARTESIAN_POINT('Ctrl Pts',(-1.0864658197932,-1.85061713887335,0.538831647099534)); -#3466=CARTESIAN_POINT('Ctrl Pts',(-1.08398796656165,-1.8503251454076,0.542860749841933)); -#3467=CARTESIAN_POINT('Ctrl Pts',(-1.07974037883674,-1.84901920726616,0.55102187713071)); -#3468=CARTESIAN_POINT('Ctrl Pts',(-1.07796507520485,-1.8480365558613,0.555110610409232)); -#3469=CARTESIAN_POINT('Ctrl Pts',(-1.07521420403131,-1.84539238946813,0.562993274655907)); -#3470=CARTESIAN_POINT('Ctrl Pts',(-1.07419879520797,-1.84380023451006,0.566752100345601)); -#3471=CARTESIAN_POINT('Ctrl Pts',(-1.07284283377888,-1.83997493475306,0.574032330651584)); -#3472=CARTESIAN_POINT('Ctrl Pts',(-1.07250000000014,-1.83777448242766,0.577514689745787)); -#3473=CARTESIAN_POINT('Ctrl Pts',(-1.0725000000001,-1.83528691039218,0.580817869281804)); -#3474=CARTESIAN_POINT('',(-1.08922237310357,-1.97761713887335,0.53497781130571)); -#3475=CARTESIAN_POINT('Origin',(-1.2503,-1.91411713887335,0.610256215336613)); -#3476=CARTESIAN_POINT('',(-1.41137762689643,-1.89104249441973,0.534977811305715)); -#3477=CARTESIAN_POINT('',(-1.41137762689643,-1.85061713887335,0.53497781130571)); -#3478=CARTESIAN_POINT('',(-1.41137762689643,-1.85061713887335,0.53497781130571)); -#3479=CARTESIAN_POINT('Ctrl Pts',(-1.4081550888264,-1.98712973344175,0.523041789885192)); -#3480=CARTESIAN_POINT('Ctrl Pts',(-1.40815433584112,-1.93830368566099,0.525781410854758)); -#3481=CARTESIAN_POINT('Ctrl Pts',(-1.40815358285583,-1.88947763788024,0.528521031824325)); -#3482=CARTESIAN_POINT('Ctrl Pts',(-1.40815282987029,-1.84065159009948,0.531260652793891)); -#3483=CARTESIAN_POINT('Ctrl Pts',(-1.40927411263204,-1.98735924626469,0.526972395119724)); -#3484=CARTESIAN_POINT('Ctrl Pts',(-1.40927388954104,-1.9384835862112,0.52880981543751)); -#3485=CARTESIAN_POINT('Ctrl Pts',(-1.4092736664503,-1.88960792615771,0.53064723575555)); -#3486=CARTESIAN_POINT('Ctrl Pts',(-1.40927344335931,-1.8407322661042,0.532484656073337)); -#3487=CARTESIAN_POINT('Ctrl Pts',(-1.41607499329695,-1.98809684838948,0.552181737297332)); -#3488=CARTESIAN_POINT('Ctrl Pts',(-1.41607618235045,-1.93906006339992,0.548232988239551)); -#3489=CARTESIAN_POINT('Ctrl Pts',(-1.4160773714037,-1.89002327841037,0.544284239181514)); -#3490=CARTESIAN_POINT('Ctrl Pts',(-1.41607856045695,-1.84098649342079,0.540335490123732)); -#3491=CARTESIAN_POINT('Ctrl Pts',(-1.42525934630108,-1.98085522247281,0.601589416809182)); -#3492=CARTESIAN_POINT('Ctrl Pts',(-1.42524667121315,-1.93340032895787,0.586301950277497)); -#3493=CARTESIAN_POINT('Ctrl Pts',(-1.42523399612496,-1.88594543544293,0.571014483745811)); -#3494=CARTESIAN_POINT('Ctrl Pts',(-1.42522132103702,-1.83849054192801,0.555727017214378)); -#3495=CARTESIAN_POINT('Ctrl Pts',(-1.42836930803771,-1.95676317904394,0.648286615710254)); -#3496=CARTESIAN_POINT('Ctrl Pts',(-1.42837050969876,-1.91491815562145,0.622279920253594)); -#3497=CARTESIAN_POINT('Ctrl Pts',(-1.4283717113598,-1.87307313219894,0.596273224797188)); -#3498=CARTESIAN_POINT('Ctrl Pts',(-1.42837291302085,-1.83122810877643,0.570266529340528)); -#3499=CARTESIAN_POINT('Ctrl Pts',(-1.42804893652885,-1.93909625878547,0.670231104966731)); -#3500=CARTESIAN_POINT('Ctrl Pts',(-1.42804870868196,-1.90129786876058,0.639187855942862)); -#3501=CARTESIAN_POINT('Ctrl Pts',(-1.42804848083507,-1.86349947873569,0.608144606918995)); -#3502=CARTESIAN_POINT('Ctrl Pts',(-1.42804825298818,-1.82570108871081,0.577101357895127)); -#3503=CARTESIAN_POINT('Ctrl Pts',(-1.42794659604747,-1.93616823048258,0.673629550165763)); -#3504=CARTESIAN_POINT('Ctrl Pts',(-1.42794582610286,-1.89903874410338,0.641806342835418)); -#3505=CARTESIAN_POINT('Ctrl Pts',(-1.42794505615825,-1.86190925772417,0.609983135505074)); -#3506=CARTESIAN_POINT('Ctrl Pts',(-1.42794428621364,-1.82477977134494,0.578159928174731)); -#3507=CARTESIAN_POINT('Origin',(-1.2503,-1.77441713887312,0.53497781130571)); -#3508=CARTESIAN_POINT('Ctrl Pts',(-1.07590670607436,-1.81920637509824,0.596624906277048)); -#3509=CARTESIAN_POINT('Ctrl Pts',(-1.07478501539442,-1.82219701402634,0.594452079909851)); -#3510=CARTESIAN_POINT('Ctrl Pts',(-1.07392850308291,-1.82507936978281,0.592024828605556)); -#3511=CARTESIAN_POINT('Ctrl Pts',(-1.07278704405146,-1.83049045345904,0.586711703515982)); -#3512=CARTESIAN_POINT('Ctrl Pts',(-1.07249977667542,-1.83299677146105,0.583858882745571)); -#3513=CARTESIAN_POINT('Ctrl Pts',(-1.07250000000024,-1.83528691039218,0.580817869281804)); -#3514=CARTESIAN_POINT('Ctrl Pts',(-1.07769559789936,-1.88729693501374,0.71568681093347)); -#3515=CARTESIAN_POINT('Ctrl Pts',(-1.07769826989452,-1.86140391882972,0.674198105078688)); -#3516=CARTESIAN_POINT('Ctrl Pts',(-1.07770094188969,-1.83551090264573,0.632709399223906)); -#3517=CARTESIAN_POINT('Ctrl Pts',(-1.07770361388485,-1.80961788646171,0.591220693369124)); -#3518=CARTESIAN_POINT('Ctrl Pts',(-1.07703884820956,-1.89150525592835,0.713057892549966)); -#3519=CARTESIAN_POINT('Ctrl Pts',(-1.07703988369619,-1.86463964786,0.672177830476624)); -#3520=CARTESIAN_POINT('Ctrl Pts',(-1.07704091918281,-1.83777403979168,0.631297768403282)); -#3521=CARTESIAN_POINT('Ctrl Pts',(-1.07704195466944,-1.81090843172333,0.59041770632994)); -#3522=CARTESIAN_POINT('Ctrl Pts',(-1.07392087047575,-1.91303292869453,0.698531412397245)); -#3523=CARTESIAN_POINT('Ctrl Pts',(-1.0739184226836,-1.88120003861627,0.661005974684998)); -#3524=CARTESIAN_POINT('Ctrl Pts',(-1.07391597489169,-1.849367148538,0.623480536972753)); -#3525=CARTESIAN_POINT('Ctrl Pts',(-1.07391352709979,-1.81753425845974,0.585955099260506)); -#3526=CARTESIAN_POINT('Ctrl Pts',(-1.07220689408304,-1.93565481838955,0.676294113155397)); -#3527=CARTESIAN_POINT('Ctrl Pts',(-1.07221003958297,-1.89864711210041,0.643868543099122)); -#3528=CARTESIAN_POINT('Ctrl Pts',(-1.0722131850829,-1.86163940581125,0.611442973043103)); -#3529=CARTESIAN_POINT('Ctrl Pts',(-1.07221633058309,-1.82463169952208,0.579017402987083)); -#3530=CARTESIAN_POINT('Ctrl Pts',(-1.07257480725018,-1.95078485025193,0.654664077890789)); -#3531=CARTESIAN_POINT('Ctrl Pts',(-1.07257334159398,-1.91030585372215,0.627188094531969)); -#3532=CARTESIAN_POINT('Ctrl Pts',(-1.07257187593778,-1.86982685719234,0.599712111173148)); -#3533=CARTESIAN_POINT('Ctrl Pts',(-1.07257041028184,-1.82934786066256,0.572236127814328)); -#3534=CARTESIAN_POINT('Ctrl Pts',(-1.07271854573055,-1.9536322123659,0.650261014123654)); -#3535=CARTESIAN_POINT('Ctrl Pts',(-1.07271621877432,-1.9124985310646,0.623791976097905)); -#3536=CARTESIAN_POINT('Ctrl Pts',(-1.07271389181834,-1.87136484976332,0.597322938072409)); -#3537=CARTESIAN_POINT('Ctrl Pts',(-1.07271156486211,-1.83023116846203,0.570853900046658)); -#3538=CARTESIAN_POINT('Ctrl Pts',(1.46230440210064,-1.88729693501371,0.71568681093347)); -#3539=CARTESIAN_POINT('Ctrl Pts',(1.46230173010548,-1.86140391882969,0.674198105078688)); -#3540=CARTESIAN_POINT('Ctrl Pts',(1.46229905811031,-1.83551090264567,0.632709399223906)); -#3541=CARTESIAN_POINT('Ctrl Pts',(1.46229638611515,-1.80961788646165,0.591220693369124)); -#3542=CARTESIAN_POINT('Ctrl Pts',(1.46296115179044,-1.89150525592835,0.713057892549966)); -#3543=CARTESIAN_POINT('Ctrl Pts',(1.46296011630381,-1.86463964786,0.672177830476624)); -#3544=CARTESIAN_POINT('Ctrl Pts',(1.46295908081719,-1.83777403979165,0.631297768403282)); -#3545=CARTESIAN_POINT('Ctrl Pts',(1.46295804533056,-1.81090843172331,0.59041770632994)); -#3546=CARTESIAN_POINT('Ctrl Pts',(1.46607912952425,-1.91303292869453,0.698531412397245)); -#3547=CARTESIAN_POINT('Ctrl Pts',(1.4660815773164,-1.88120003861629,0.661005974684998)); -#3548=CARTESIAN_POINT('Ctrl Pts',(1.46608402510831,-1.84936714853803,0.623480536972753)); -#3549=CARTESIAN_POINT('Ctrl Pts',(1.46608647290021,-1.81753425845977,0.585955099260506)); -#3550=CARTESIAN_POINT('Ctrl Pts',(1.46779310591696,-1.93565481838955,0.676294113155397)); -#3551=CARTESIAN_POINT('Ctrl Pts',(1.46778996041703,-1.89864711210041,0.643868543099122)); -#3552=CARTESIAN_POINT('Ctrl Pts',(1.4677868149171,-1.86163940581125,0.611442973043103)); -#3553=CARTESIAN_POINT('Ctrl Pts',(1.46778366941691,-1.82463169952211,0.579017402987083)); -#3554=CARTESIAN_POINT('Ctrl Pts',(1.46742519274982,-1.9507848502519,0.654664077890789)); -#3555=CARTESIAN_POINT('Ctrl Pts',(1.46742665840602,-1.91030585372212,0.627188094531969)); -#3556=CARTESIAN_POINT('Ctrl Pts',(1.46742812406222,-1.86982685719234,0.599712111173148)); -#3557=CARTESIAN_POINT('Ctrl Pts',(1.46742958971816,-1.82934786066256,0.572236127814328)); -#3558=CARTESIAN_POINT('Ctrl Pts',(1.46728145426945,-1.95363221236585,0.650261014123654)); -#3559=CARTESIAN_POINT('Ctrl Pts',(1.46728378122568,-1.91249853106457,0.623791976097905)); -#3560=CARTESIAN_POINT('Ctrl Pts',(1.46728610818166,-1.87136484976332,0.597322938072409)); -#3561=CARTESIAN_POINT('Ctrl Pts',(1.46728843513789,-1.83023116846203,0.570853900046658)); -#3562=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#3566, -'DISTANCE_ACCURACY_VALUE', -'Maximum model space distance between geometric entities at asserted c -onnectivities'); -#3563=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#3566, -'DISTANCE_ACCURACY_VALUE', -'Maximum model space distance between geometric entities at asserted c -onnectivities'); -#3564=( -GEOMETRIC_REPRESENTATION_CONTEXT(3) -GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3562)) -GLOBAL_UNIT_ASSIGNED_CONTEXT((#3566,#3568,#3569)) -REPRESENTATION_CONTEXT('','3D') -); -#3565=( -GEOMETRIC_REPRESENTATION_CONTEXT(3) -GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#3563)) -GLOBAL_UNIT_ASSIGNED_CONTEXT((#3566,#3568,#3569)) -REPRESENTATION_CONTEXT('','3D') -); -#3566=( -LENGTH_UNIT() -NAMED_UNIT(*) -SI_UNIT(.MILLI.,.METRE.) -); -#3567=( -LENGTH_UNIT() -NAMED_UNIT(*) -SI_UNIT(.CENTI.,.METRE.) -); -#3568=( -NAMED_UNIT(*) -PLANE_ANGLE_UNIT() -SI_UNIT($,.RADIAN.) -); -#3569=( -NAMED_UNIT(*) -SI_UNIT($,.STERADIAN.) -SOLID_ANGLE_UNIT() -); -#3570=SHAPE_DEFINITION_REPRESENTATION(#3571,#3572); -#3571=PRODUCT_DEFINITION_SHAPE('',$,#3574); -#3572=SHAPE_REPRESENTATION('',(#1860),#3564); -#3573=PRODUCT_DEFINITION_CONTEXT('part definition',#3578,'design'); -#3574=PRODUCT_DEFINITION('3224W','3224W',#3575,#3573); -#3575=PRODUCT_DEFINITION_FORMATION('1LAST_VERSION',$,#3580); -#3576=PRODUCT_RELATED_PRODUCT_CATEGORY('3224W','3224W',(#3580)); -#3577=APPLICATION_PROTOCOL_DEFINITION('international standard', -'automotive_design',2009,#3578); -#3578=APPLICATION_CONTEXT( -'Core Data for Automotive Mechanical Design Process'); -#3579=PRODUCT_CONTEXT('part definition',#3578,'mechanical'); -#3580=PRODUCT('3224W','3224W',$,(#3579)); -#3581=PRESENTATION_STYLE_ASSIGNMENT((#3584)); -#3582=PRESENTATION_STYLE_ASSIGNMENT((#3585)); -#3583=PRESENTATION_STYLE_ASSIGNMENT((#3586)); -#3584=SURFACE_STYLE_USAGE(.BOTH.,#3593); -#3585=SURFACE_STYLE_USAGE(.BOTH.,#3594); -#3586=SURFACE_STYLE_USAGE(.BOTH.,#3595); -#3587=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3605,(#3590)); -#3588=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3606,(#3591)); -#3589=SURFACE_STYLE_RENDERING_WITH_PROPERTIES($,#3607,(#3592)); -#3590=SURFACE_STYLE_TRANSPARENT(0.); -#3591=SURFACE_STYLE_TRANSPARENT(0.); -#3592=SURFACE_STYLE_TRANSPARENT(0.); -#3593=SURFACE_SIDE_STYLE('',(#3596,#3587)); -#3594=SURFACE_SIDE_STYLE('',(#3597,#3588)); -#3595=SURFACE_SIDE_STYLE('',(#3598,#3589)); -#3596=SURFACE_STYLE_FILL_AREA(#3599); -#3597=SURFACE_STYLE_FILL_AREA(#3600); -#3598=SURFACE_STYLE_FILL_AREA(#3601); -#3599=FILL_AREA_STYLE('',(#3602)); -#3600=FILL_AREA_STYLE('',(#3603)); -#3601=FILL_AREA_STYLE('',(#3604)); -#3602=FILL_AREA_STYLE_COLOUR('',#3605); -#3603=FILL_AREA_STYLE_COLOUR('',#3606); -#3604=FILL_AREA_STYLE_COLOUR('',#3607); -#3605=COLOUR_RGB('',0.8,0.807843137254902,0.8); -#3606=COLOUR_RGB('',1.,1.,1.); -#3607=COLOUR_RGB('',1.,0.807843137254902,0.498039215686275); -ENDSEC; -END-ISO-10303-21;