Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Tests fail to run with: string sub-command REGEX, mode REPLACE needs at least 6 arguments total to command #52

Open
barracuda156 opened this issue Jun 18, 2023 · 0 comments · May be fixed by #53

Comments

@barracuda156
Copy link

Build is fine once inclusion of qt.h is fixed, but all tests fail, almost all identically with run_test.cmake:104 (string): string sub-command REGEX, mode REPLACE needs at least 6 arguments total to command:

Start testing: Jun 19 02:44 MYT
----------------------------------------------------------
1/33 Testing: examples/sysc/2.1/dpipe/dpipe
1/33 Test: examples/sysc/2.1/dpipe/dpipe
Command: "/opt/local/bin/cmake" "-DTEST_EXE=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/sysc/2.1/dpipe/dpipe" "-DTEST_DIR=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/sysc/2.1/dpipe" "-DTEST_INPUT=" "-DTEST_GOLDEN=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/examples/sysc/2.1/dpipe/golden.log" "-DTEST_FILTER=" "-DDIFF_COMMAND=/usr/bin/diff" "-DDIFF_OPTIONS=-u" "-P" "/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake"
Directory: /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/sysc/2.1/dpipe
"examples/sysc/2.1/dpipe/dpipe" start time: Jun 19 02:44 MYT
Output:
----------------------------------------------------------
CMake Deprecation Warning at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:41 (cmake_minimum_required):
  Compatibility with CMake < 2.8.12 will be removed from a future version of
  CMake.

  Update the VERSION argument <min> value or use a ...<max> suffix to tell
  CMake that the project does not need compatibility with older versions.


CMake Error at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:104 (string):
  string sub-command REGEX, mode REPLACE needs at least 6 arguments total to
  command.


<end of output>
Test time =   0.24 sec
----------------------------------------------------------
Test Failed.
"examples/sysc/2.1/dpipe/dpipe" end time: Jun 19 02:44 MYT
"examples/sysc/2.1/dpipe/dpipe" time elapsed: 00:00:00
----------------------------------------------------------

2/33 Testing: examples/sysc/2.1/forkjoin/forkjoin
2/33 Test: examples/sysc/2.1/forkjoin/forkjoin
Command: "/opt/local/bin/cmake" "-DTEST_EXE=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/sysc/2.1/forkjoin/forkjoin" "-DTEST_DIR=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/sysc/2.1/forkjoin" "-DTEST_INPUT=" "-DTEST_GOLDEN=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/examples/sysc/2.1/forkjoin/golden.log" "-DTEST_FILTER=" "-DDIFF_COMMAND=/usr/bin/diff" "-DDIFF_OPTIONS=-u" "-P" "/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake"
Directory: /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/sysc/2.1/forkjoin
"examples/sysc/2.1/forkjoin/forkjoin" start time: Jun 19 02:44 MYT
Output:
----------------------------------------------------------
CMake Deprecation Warning at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:41 (cmake_minimum_required):
  Compatibility with CMake < 2.8.12 will be removed from a future version of
  CMake.

  Update the VERSION argument <min> value or use a ...<max> suffix to tell
  CMake that the project does not need compatibility with older versions.


CMake Error at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:104 (string):
  string sub-command REGEX, mode REPLACE needs at least 6 arguments total to
  command.


<end of output>
Test time =   0.21 sec
----------------------------------------------------------
Test Failed.
"examples/sysc/2.1/forkjoin/forkjoin" end time: Jun 19 02:44 MYT
"examples/sysc/2.1/forkjoin/forkjoin" time elapsed: 00:00:00
----------------------------------------------------------
. . .
28/33 Testing: examples/tlm/at_ooo/at_ooo
28/33 Test: examples/tlm/at_ooo/at_ooo
Command: "/opt/local/bin/cmake" "-DTEST_EXE=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/at_ooo/at_ooo" "-DTEST_DIR=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/at_ooo" "-DTEST_INPUT=" "-DTEST_GOLDEN=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/examples/tlm/at_ooo/results/expected.log" "-DTEST_FILTER=" "-DDIFF_COMMAND=/usr/bin/diff" "-DDIFF_OPTIONS=-u" "-P" "/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake"
Directory: /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/at_ooo
"examples/tlm/at_ooo/at_ooo" start time: Jun 19 02:44 MYT
Output:
----------------------------------------------------------
CMake Deprecation Warning at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:41 (cmake_minimum_required):
  Compatibility with CMake < 2.8.12 will be removed from a future version of
  CMake.

  Update the VERSION argument <min> value or use a ...<max> suffix to tell
  CMake that the project does not need compatibility with older versions.


CMake Error at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:104 (string):
  string sub-command REGEX, mode REPLACE needs at least 6 arguments total to
  command.


<end of output>
Test time =   0.21 sec
----------------------------------------------------------
Test Failed.
"examples/tlm/at_ooo/at_ooo" end time: Jun 19 02:44 MYT
"examples/tlm/at_ooo/at_ooo" time elapsed: 00:00:00
----------------------------------------------------------

29/33 Testing: examples/tlm/lt/lt
29/33 Test: examples/tlm/lt/lt
Command: "/opt/local/bin/cmake" "-DTEST_EXE=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt/lt" "-DTEST_DIR=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt" "-DTEST_INPUT=" "-DTEST_GOLDEN=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/examples/tlm/lt/results/expected.log" "-DTEST_FILTER=" "-DDIFF_COMMAND=/usr/bin/diff" "-DDIFF_OPTIONS=-u" "-P" "/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake"
Directory: /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt
"examples/tlm/lt/lt" start time: Jun 19 02:44 MYT
Output:
----------------------------------------------------------
CMake Deprecation Warning at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:41 (cmake_minimum_required):
  Compatibility with CMake < 2.8.12 will be removed from a future version of
  CMake.

  Update the VERSION argument <min> value or use a ...<max> suffix to tell
  CMake that the project does not need compatibility with older versions.


CMake Error at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:104 (string):
  string sub-command REGEX, mode REPLACE needs at least 6 arguments total to
  command.


<end of output>
Test time =   0.23 sec
----------------------------------------------------------
Test Failed.
"examples/tlm/lt/lt" end time: Jun 19 02:44 MYT
"examples/tlm/lt/lt" time elapsed: 00:00:00
----------------------------------------------------------

30/33 Testing: examples/tlm/lt_dmi/lt_dmi
30/33 Test: examples/tlm/lt_dmi/lt_dmi
Command: "/opt/local/bin/cmake" "-DTEST_EXE=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_dmi/lt_dmi" "-DTEST_DIR=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_dmi" "-DTEST_INPUT=" "-DTEST_GOLDEN=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/examples/tlm/lt_dmi/results/expected.log" "-DTEST_FILTER=" "-DDIFF_COMMAND=/usr/bin/diff" "-DDIFF_OPTIONS=-u" "-P" "/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake"
Directory: /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_dmi
"examples/tlm/lt_dmi/lt_dmi" start time: Jun 19 02:44 MYT
Output:
----------------------------------------------------------
CMake Deprecation Warning at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:41 (cmake_minimum_required):
  Compatibility with CMake < 2.8.12 will be removed from a future version of
  CMake.

  Update the VERSION argument <min> value or use a ...<max> suffix to tell
  CMake that the project does not need compatibility with older versions.


CMake Error at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:104 (string):
  string sub-command REGEX, mode REPLACE needs at least 6 arguments total to
  command.


<end of output>
Test time =   0.21 sec
----------------------------------------------------------
Test Failed.
"examples/tlm/lt_dmi/lt_dmi" end time: Jun 19 02:44 MYT
"examples/tlm/lt_dmi/lt_dmi" time elapsed: 00:00:00
----------------------------------------------------------

31/33 Testing: examples/tlm/lt_extension_mandatory/lt_extension_mandatory
31/33 Test: examples/tlm/lt_extension_mandatory/lt_extension_mandatory
Command: "/opt/local/bin/cmake" "-DTEST_EXE=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_extension_mandatory/lt_extension_mandatory" "-DTEST_DIR=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_extension_mandatory" "-DTEST_INPUT=" "-DTEST_GOLDEN=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/examples/tlm/lt_extension_mandatory/results/expected.log" "-DTEST_FILTER=" "-DDIFF_COMMAND=/usr/bin/diff" "-DDIFF_OPTIONS=-u" "-P" "/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake"
Directory: /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_extension_mandatory
"examples/tlm/lt_extension_mandatory/lt_extension_mandatory" start time: Jun 19 02:44 MYT
Output:
----------------------------------------------------------
CMake Deprecation Warning at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:41 (cmake_minimum_required):
  Compatibility with CMake < 2.8.12 will be removed from a future version of
  CMake.

  Update the VERSION argument <min> value or use a ...<max> suffix to tell
  CMake that the project does not need compatibility with older versions.


CMake Error at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:104 (string):
  string sub-command REGEX, mode REPLACE needs at least 6 arguments total to
  command.


<end of output>
Test time =   0.23 sec
----------------------------------------------------------
Test Failed.
"examples/tlm/lt_extension_mandatory/lt_extension_mandatory" end time: Jun 19 02:44 MYT
"examples/tlm/lt_extension_mandatory/lt_extension_mandatory" time elapsed: 00:00:00
----------------------------------------------------------

32/33 Testing: examples/tlm/lt_mixed_endian/lt_mixed_endian
32/33 Test: examples/tlm/lt_mixed_endian/lt_mixed_endian
Command: "/opt/local/bin/cmake" "-DTEST_EXE=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_mixed_endian/lt_mixed_endian" "-DTEST_DIR=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_mixed_endian" "-DTEST_INPUT=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/examples/tlm/lt_mixed_endian/results/input.txt" "-DTEST_GOLDEN=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/examples/tlm/lt_mixed_endian/results/expected.log" "-DTEST_FILTER=::" "-DDIFF_COMMAND=/usr/bin/diff" "-DDIFF_OPTIONS=-u" "-P" "/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake"
Directory: /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_mixed_endian
"examples/tlm/lt_mixed_endian/lt_mixed_endian" start time: Jun 19 02:44 MYT
Output:
----------------------------------------------------------
CMake Deprecation Warning at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:41 (cmake_minimum_required):
  Compatibility with CMake < 2.8.12 will be removed from a future version of
  CMake.

  Update the VERSION argument <min> value or use a ...<max> suffix to tell
  CMake that the project does not need compatibility with older versions.


DIFF_EXIT_CODE = 1
CMake Error at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:128 (message):
  ***ERROR:

  --- run_trimmed.log	2023-06-19 02:44:29.000000000 +0800

  +++ expected_trimmed.log	2023-06-19 02:44:29.000000000 +0800

  @@ -1 +1,96 @@

  -

  +101 BE32 :: cmd: s8 0 0 1 2 3 4 5 6 7

  +101 BE32 :: write transaction length 8 (10) x 8-bit completed

  +101 BE32 :: cmd: l8 0 8

  +101 BE32 :: read transaction length 8 (10) x 8-bit completed, returning:

  +101 BE32 :: 0 1 2 3 4 5 6 7

  +101 BE32 :: cmd: l8 1 7

  +101 BE32 :: read transaction length 7 (10) x 8-bit completed, returning:

  +101 BE32 :: 1 2 3 4 5 6 7

  +101 BE32 :: cmd: l8 5 3

  +101 BE32 :: read transaction length 3 (10) x 8-bit completed, returning:

  +101 BE32 :: 5 6 7

  +101 BE32 :: cmd: l16 0 4

  +101 BE32 :: read transaction length 4 (10) x 16-bit completed, returning:

  +101 BE32 :: 1 203 405 607

  +101 BE32 :: cmd: l16 2 3

  +101 BE32 :: read transaction length 3 (10) x 16-bit completed, returning:

  +101 BE32 :: 203 405 607

  +101 BE32 :: cmd: l16 4 1

  +101 BE32 :: read transaction length 1 (10) x 16-bit completed, returning:

  +101 BE32 :: 405

  +101 BE32 :: cmd: l32 0 2

  +101 BE32 :: read transaction length 2 (10) x 32-bit completed, returning:

  +101 BE32 :: 10203 4050607

  +101 BE32 :: cmd: l32 4 1

  +101 BE32 :: read transaction length 1 (10) x 32-bit completed, returning:

  +101 BE32 :: 4050607

  +102 LE32 :: cmd: s8 0 0 1 2 3 4 5 6 7

  +102 LE32 :: write transaction length 8 (10) x 8-bit completed

  +102 LE32 :: cmd: l8 0 8

  +102 LE32 :: read transaction length 8 (10) x 8-bit completed, returning:

  +102 LE32 :: 0 1 2 3 4 5 6 7

  +102 LE32 :: cmd: l8 1 7

  +102 LE32 :: read transaction length 7 (10) x 8-bit completed, returning:

  +102 LE32 :: 1 2 3 4 5 6 7

  +102 LE32 :: cmd: l8 5 3

  +102 LE32 :: read transaction length 3 (10) x 8-bit completed, returning:

  +102 LE32 :: 5 6 7

  +102 LE32 :: cmd: l16 0 4

  +102 LE32 :: read transaction length 4 (10) x 16-bit completed, returning:

  +102 LE32 :: 100 302 504 706

  +102 LE32 :: cmd: l16 2 3

  +102 LE32 :: read transaction length 3 (10) x 16-bit completed, returning:

  +102 LE32 :: 302 504 706

  +102 LE32 :: cmd: l16 4 1

  +102 LE32 :: read transaction length 1 (10) x 16-bit completed, returning:

  +102 LE32 :: 504

  +102 LE32 :: cmd: l32 0 2

  +102 LE32 :: read transaction length 2 (10) x 32-bit completed, returning:

  +102 LE32 :: 3020100 7060504

  +102 LE32 :: cmd: l32 4 1

  +102 LE32 :: read transaction length 1 (10) x 32-bit completed, returning:

  +102 LE32 :: 7060504

  +101 BE32 :: cmd: l8 0 8

  +101 BE32 :: read transaction length 8 (10) x 8-bit completed, returning:

  +101 BE32 :: 3 2 1 0 7 6 5 4

  +101 BE32 :: cmd: l8 1 7

  +101 BE32 :: read transaction length 7 (10) x 8-bit completed, returning:

  +101 BE32 :: 2 1 0 7 6 5 4

  +101 BE32 :: cmd: l8 5 3

  +101 BE32 :: read transaction length 3 (10) x 8-bit completed, returning:

  +101 BE32 :: 6 5 4

  +101 BE32 :: cmd: l16 0 4

  +101 BE32 :: read transaction length 4 (10) x 16-bit completed, returning:

  +101 BE32 :: 302 100 706 504

  +101 BE32 :: cmd: l16 2 3

  +101 BE32 :: read transaction length 3 (10) x 16-bit completed, returning:

  +101 BE32 :: 100 706 504

  +101 BE32 :: cmd: l32 0 2

  +101 BE32 :: read transaction length 2 (10) x 32-bit completed, returning:

  +101 BE32 :: 3020100 7060504

  +101 BE32 :: cmd: l32 4 1

  +101 BE32 :: read transaction length 1 (10) x 32-bit completed, returning:

  +101 BE32 :: 7060504

  +101 BE32 :: cmd: s32 20 13579bdf

  +101 BE32 :: write transaction length 1 (10) x 32-bit completed

  +102 LE32 :: cmd: l32 20 1

  +102 LE32 :: read transaction length 1 (10) x 32-bit completed, returning:

  +102 LE32 :: 13579bdf

  +102 LE32 :: cmd: s32 30 00000000

  +102 LE32 :: write transaction length 1 (10) x 32-bit completed

  +102 LE32 :: cmd: s16 30 ea62

  +102 LE32 :: write transaction length 1 (10) x 16-bit completed

  +101 BE32 :: cmd: l16 30 1

  +101 BE32 :: read transaction length 1 (10) x 16-bit completed, returning:

  +101 BE32 :: 0

  +101 BE32 :: cmd: l16 32 1

  +101 BE32 :: read transaction length 1 (10) x 16-bit completed, returning:

  +101 BE32 :: ea62

  +101 BE32 :: cmd: s8 42 5a

  +101 BE32 :: write transaction length 1 (10) x 8-bit completed

  +102 LE32 :: cmd: l8 42 1

  +102 LE32 :: read transaction length 1 (10) x 8-bit completed, returning:

  +102 LE32 :: 0

  +102 LE32 :: cmd: l8 41 1

  +102 LE32 :: read transaction length 1 (10) x 8-bit completed, returning:

  +102 LE32 :: 5a



<end of output>
Test time =   0.23 sec
----------------------------------------------------------
Test Failed.
"examples/tlm/lt_mixed_endian/lt_mixed_endian" end time: Jun 19 02:44 MYT
"examples/tlm/lt_mixed_endian/lt_mixed_endian" time elapsed: 00:00:00
----------------------------------------------------------

33/33 Testing: examples/tlm/lt_temporal_decouple/lt_temporal_decouple
33/33 Test: examples/tlm/lt_temporal_decouple/lt_temporal_decouple
Command: "/opt/local/bin/cmake" "-DTEST_EXE=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_temporal_decouple/lt_temporal_decouple" "-DTEST_DIR=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_temporal_decouple" "-DTEST_INPUT=" "-DTEST_GOLDEN=/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/examples/tlm/lt_temporal_decouple/results/expected.log" "-DTEST_FILTER=" "-DDIFF_COMMAND=/usr/bin/diff" "-DDIFF_OPTIONS=-u" "-P" "/opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake"
Directory: /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/build/examples/tlm/lt_temporal_decouple
"examples/tlm/lt_temporal_decouple/lt_temporal_decouple" start time: Jun 19 02:44 MYT
Output:
----------------------------------------------------------
CMake Deprecation Warning at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:41 (cmake_minimum_required):
  Compatibility with CMake < 2.8.12 will be removed from a future version of
  CMake.

  Update the VERSION argument <min> value or use a ...<max> suffix to tell
  CMake that the project does not need compatibility with older versions.


CMake Error at /opt/local/var/macports/build/_opt_PPCRosettaPorts_devel_systemc/systemc/work/systemc-2.3.4/cmake/run_test.cmake:104 (string):
  string sub-command REGEX, mode REPLACE needs at least 6 arguments total to
  command.


<end of output>
Test time =   0.23 sec
----------------------------------------------------------
Test Failed.
"examples/tlm/lt_temporal_decouple/lt_temporal_decouple" end time: Jun 19 02:44 MYT
"examples/tlm/lt_temporal_decouple/lt_temporal_decouple" time elapsed: 00:00:00
----------------------------------------------------------

End testing: Jun 19 02:44 MYT
barracuda156 added a commit to barracuda156/systemc that referenced this issue Jun 18, 2023
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging a pull request may close this issue.

1 participant